From 8244f1e6b858787cef825585e056ee2cfe5d2a1d Mon Sep 17 00:00:00 2001 From: Pacien TRAN-GIRARD Date: Tue, 17 Jun 2014 01:34:43 +0200 Subject: Update blocks --- FPGA/codec_clock/codec_clock.qws | Bin 905 -> 905 bytes FPGA/pwm/pwm.bdf | 282 ++++++++++---------- FPGA/pwm/pwm.qws | Bin 2168 -> 0 bytes FPGA/sound_gene/sound_gene.bdf | 550 +++++++++++++++++++-------------------- FPGA/sound_gene/sound_gene.qws | Bin 897 -> 48 bytes 5 files changed, 416 insertions(+), 416 deletions(-) delete mode 100644 FPGA/pwm/pwm.qws diff --git a/FPGA/codec_clock/codec_clock.qws b/FPGA/codec_clock/codec_clock.qws index 9404844..a9096a0 100644 Binary files a/FPGA/codec_clock/codec_clock.qws and b/FPGA/codec_clock/codec_clock.qws differ diff --git a/FPGA/pwm/pwm.bdf b/FPGA/pwm/pwm.bdf index 8e22468..6247ec1 100644 --- a/FPGA/pwm/pwm.bdf +++ b/FPGA/pwm/pwm.bdf @@ -21,9 +21,9 @@ applicable agreement for further details. (header "graphic" (version "1.4")) (pin (input) - (rect 72 64 248 80) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) - (text "clk" (rect 9 0 24 11)(font "Arial" )) + (rect -144 64 32 80) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 23 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -33,14 +33,14 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 16 80 72 96)) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -200 64 -144 80)) ) (pin (input) - (rect 72 80 248 96) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) - (text "resetn" (rect 9 0 40 11)(font "Arial" )) + (rect -144 80 32 96) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "resetn" (rect 9 0 39 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -50,13 +50,13 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 8 96 72 112)) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -208 80 -144 96)) ) (pin (input) - (rect 72 96 248 112) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) + (rect -144 96 32 112) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "speed[1..0]" (rect 9 0 64 11)(font "Arial" )) (pt 176 8) (drawing @@ -67,14 +67,14 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 8 112 72 128)) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -208 96 -144 112)) ) (pin (output) - (rect 952 64 1128 80) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "fan" (rect 90 0 106 11)(font "Arial" )) + (rect -136 128 40 144) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "fan" (rect 90 0 105 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -85,13 +85,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 1128 80 1192 96)) + (annotation_block (location)(rect 40 128 104 144)) ) (pin (output) - (rect 952 80 1128 96) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "led_fan" (rect 90 0 127 11)(font "Arial" )) + (rect -136 144 40 160) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "led_fan" (rect 90 0 126 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -102,52 +102,52 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 1128 96 1192 112)) + (annotation_block (location)(rect 40 144 104 160)) ) (symbol - (rect 352 160 496 272) - (text "lpm_mux0" (rect 43 0 117 16)(font "Arial" (font_size 10))) - (text "inst" (rect 8 97 26 108)(font "Arial" )) + (rect 664 152 808 264) + (text "lpm_mux0" (rect 43 0 116 16)(font "Arial" (font_size 10))) + (text "inst" (rect 8 97 25 108)(font "Arial" )) (port (pt 0 40) (input) - (text "data3x[22..0]" (rect 0 0 74 13)(font "Arial" (font_size 8))) - (text "data3x[22..0]" (rect 4 27 78 40)(font "Arial" (font_size 8))) + (text "data3x[22..0]" (rect 0 0 73 13)(font "Arial" (font_size 8))) + (text "data3x[22..0]" (rect 4 27 77 40)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 64 40)(line_width 3)) ) (port (pt 0 56) (input) - (text "data2x[22..0]" (rect 0 0 74 13)(font "Arial" (font_size 8))) - (text "data2x[22..0]" (rect 4 43 78 56)(font "Arial" (font_size 8))) + (text "data2x[22..0]" (rect 0 0 73 13)(font "Arial" (font_size 8))) + (text "data2x[22..0]" (rect 4 43 77 56)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 64 56)(line_width 3)) ) (port (pt 0 72) (input) - (text "data1x[22..0]" (rect 0 0 74 13)(font "Arial" (font_size 8))) - (text "data1x[22..0]" (rect 4 59 78 72)(font "Arial" (font_size 8))) + (text "data1x[22..0]" (rect 0 0 73 13)(font "Arial" (font_size 8))) + (text "data1x[22..0]" (rect 4 59 77 72)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 64 72)(line_width 3)) ) (port (pt 0 88) (input) - (text "data0x[22..0]" (rect 0 0 74 13)(font "Arial" (font_size 8))) - (text "data0x[22..0]" (rect 4 75 78 88)(font "Arial" (font_size 8))) + (text "data0x[22..0]" (rect 0 0 73 13)(font "Arial" (font_size 8))) + (text "data0x[22..0]" (rect 4 75 77 88)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 64 88)(line_width 3)) ) (port (pt 72 112) (input) - (text "sel[1..0]" (rect 0 0 13 46)(font "Arial" (font_size 8))(vertical)) - (text "sel[1..0]" (rect 66 57 79 103)(font "Arial" (font_size 8))(vertical)) + (text "sel[1..0]" (rect 0 0 13 44)(font "Arial" (font_size 8))(vertical)) + (text "sel[1..0]" (rect 66 57 79 101)(font "Arial" (font_size 8))(vertical)) (line (pt 72 112)(pt 72 100)(line_width 3)) ) (port (pt 144 64) (output) - (text "result[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) - (text "result[22..0]" (rect 85 51 152 64)(font "Arial" (font_size 8))) + (text "result[22..0]" (rect 0 0 66 13)(font "Arial" (font_size 8))) + (text "result[22..0]" (rect 85 51 151 64)(font "Arial" (font_size 8))) (line (pt 144 64)(pt 80 64)(line_width 3)) ) (drawing @@ -166,18 +166,18 @@ applicable agreement for further details. ) ) (symbol - (rect 328 544 440 592) - (text "lpm_constant0" (rect 14 0 117 16)(font "Arial" (font_size 10))) - (text "inst3" (rect 8 33 32 44)(font "Arial" )) + (rect 360 296 472 344) + (text "lpm_constant0" (rect 14 0 116 16)(font "Arial" (font_size 10))) + (text "inst3" (rect 8 33 31 44)(font "Arial" )) (port (pt 112 24) (output) - (text "result[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) - (text "0" (rect 87 18 95 31)(font "Arial" (font_size 8))) + (text "result[22..0]" (rect 0 0 66 13)(font "Arial" (font_size 8))) + (text "0" (rect 87 18 94 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing - (text "23" (rect 94 27 108 38)(font "Arial" )) + (text "23" (rect 94 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) @@ -194,18 +194,18 @@ applicable agreement for further details. ) ) (symbol - (rect 328 488 440 536) + (rect 360 240 472 288) (text "lpm_constant1" (rect 14 0 116 16)(font "Arial" (font_size 10))) - (text "inst5" (rect 8 33 32 44)(font "Arial" )) + (text "inst5" (rect 8 33 31 44)(font "Arial" )) (port (pt 112 24) (output) - (text "result[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) - (text "2500000" (rect 52 18 102 31)(font "Arial" (font_size 8))) + (text "result[22..0]" (rect 0 0 66 13)(font "Arial" (font_size 8))) + (text "2500000" (rect 52 18 101 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing - (text "23" (rect 94 27 108 38)(font "Arial" )) + (text "23" (rect 94 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) @@ -222,18 +222,18 @@ applicable agreement for further details. ) ) (symbol - (rect 328 432 440 480) - (text "lpm_constant2" (rect 14 0 117 16)(font "Arial" (font_size 10))) - (text "inst6" (rect 8 33 32 44)(font "Arial" )) + (rect 360 184 472 232) + (text "lpm_constant2" (rect 14 0 116 16)(font "Arial" (font_size 10))) + (text "inst6" (rect 8 33 31 44)(font "Arial" )) (port (pt 112 24) (output) - (text "result[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) - (text "3750000" (rect 52 18 102 31)(font "Arial" (font_size 8))) + (text "result[22..0]" (rect 0 0 66 13)(font "Arial" (font_size 8))) + (text "3750000" (rect 52 18 101 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing - (text "23" (rect 94 27 108 38)(font "Arial" )) + (text "23" (rect 94 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) @@ -250,18 +250,18 @@ applicable agreement for further details. ) ) (symbol - (rect 328 376 440 424) - (text "lpm_constant3" (rect 14 0 117 16)(font "Arial" (font_size 10))) - (text "inst7" (rect 8 33 32 44)(font "Arial" )) + (rect 360 128 472 176) + (text "lpm_constant3" (rect 14 0 116 16)(font "Arial" (font_size 10))) + (text "inst7" (rect 8 33 31 44)(font "Arial" )) (port (pt 112 24) (output) - (text "result[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) - (text "4500000" (rect 52 18 102 31)(font "Arial" (font_size 8))) + (text "result[22..0]" (rect 0 0 66 13)(font "Arial" (font_size 8))) + (text "4500000" (rect 52 18 101 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing - (text "23" (rect 94 27 108 38)(font "Arial" )) + (text "23" (rect 94 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) @@ -280,31 +280,31 @@ applicable agreement for further details. (symbol (rect 344 -32 488 48) (text "lpm_counter1" (rect 33 0 128 16)(font "Arial" (font_size 10))) - (text "inst8" (rect 8 65 32 76)(font "Arial" )) + (text "inst8" (rect 8 65 31 76)(font "Arial" )) (port (pt 0 32) (input) - (text "clock" (rect 0 0 31 13)(font "Arial" (font_size 8))) - (text "clock" (rect 26 26 57 39)(font "Arial" (font_size 8))) + (text "clock" (rect 0 0 30 13)(font "Arial" (font_size 8))) + (text "clock" (rect 26 26 56 39)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 80 80) (input) - (text "aclr" (rect 0 0 13 22)(font "Arial" (font_size 8))(vertical)) - (text "aclr" (rect 74 41 87 63)(font "Arial" (font_size 8))(vertical)) + (text "aclr" (rect 0 0 13 21)(font "Arial" (font_size 8))(vertical)) + (text "aclr" (rect 74 41 87 62)(font "Arial" (font_size 8))(vertical)) (line (pt 80 80)(pt 80 64)) ) (port (pt 144 40) (output) - (text "q[22..0]" (rect 0 0 43 13)(font "Arial" (font_size 8))) - (text "q[22..0]" (rect 89 34 132 47)(font "Arial" (font_size 8))) + (text "q[22..0]" (rect 0 0 42 13)(font "Arial" (font_size 8))) + (text "q[22..0]" (rect 89 34 131 47)(font "Arial" (font_size 8))) (line (pt 144 40)(pt 128 40)(line_width 3)) ) (drawing - (text "modulus 5000000" (rect 54 31 143 42)(font "Arial" )) - (text "up counter" (rect 84 23 139 34)(font "Arial" )) + (text "modulus 5000000" (rect 54 31 142 42)(font "Arial" )) + (text "up counter" (rect 84 23 138 34)(font "Arial" )) (line (pt 16 16)(pt 16 64)) (line (pt 16 16)(pt 128 16)) (line (pt 16 64)(pt 128 64)) @@ -323,31 +323,31 @@ applicable agreement for further details. ) (symbol (rect 680 -40 808 56) - (text "lpm_compare0" (rect 20 0 126 16)(font "Arial" (font_size 10))) - (text "inst9" (rect 8 81 32 92)(font "Arial" )) + (text "lpm_compare0" (rect 20 0 125 16)(font "Arial" (font_size 10))) + (text "inst9" (rect 8 81 31 92)(font "Arial" )) (port (pt 0 48) (input) - (text "dataa[22..0]" (rect 0 0 68 13)(font "Arial" (font_size 8))) - (text "dataa[22..0]" (rect 20 42 88 55)(font "Arial" (font_size 8))) + (text "dataa[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) + (text "dataa[22..0]" (rect 20 42 87 55)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)(line_width 3)) ) (port (pt 0 64) (input) - (text "datab[22..0]" (rect 0 0 68 13)(font "Arial" (font_size 8))) - (text "datab[22..0]" (rect 20 58 88 71)(font "Arial" (font_size 8))) + (text "datab[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) + (text "datab[22..0]" (rect 20 58 87 71)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 16 64)(line_width 3)) ) (port (pt 128 56) (output) - (text "aleb" (rect 0 0 25 13)(font "Arial" (font_size 8))) - (text "aleb" (rect 89 50 114 63)(font "Arial" (font_size 8))) + (text "aleb" (rect 0 0 24 13)(font "Arial" (font_size 8))) + (text "aleb" (rect 89 50 113 63)(font "Arial" (font_size 8))) (line (pt 128 56)(pt 112 56)) ) (drawing - (text "unsigned compare" (rect 35 27 127 38)(font "Arial" )) + (text "unsigned compare" (rect 35 27 125 38)(font "Arial" )) (line (pt 16 16)(pt 16 80)) (line (pt 16 16)(pt 112 16)) (line (pt 16 80)(pt 112 80)) @@ -363,21 +363,21 @@ applicable agreement for further details. ) ) (symbol - (rect 168 144 216 176) - (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) + (rect 576 80 624 112) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst1" (rect 3 21 26 32)(font "Arial" )) (port (pt 0 16) (input) - (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing @@ -388,23 +388,7 @@ applicable agreement for further details. ) ) (connector - (text "speed[1..0]" (rect 416 297 427 352)(font "Arial" )(vertical)) - (pt 424 296) - (pt 424 272) - (bus) -) -(connector - (text "signal" (rect 896 64 926 75)(font "Arial" )) - (pt 952 72) - (pt 936 72) -) -(connector - (text "signal" (rect 896 80 926 91)(font "Arial" )) - (pt 952 88) - (pt 936 88) -) -(connector - (text "signal" (rect 832 8 862 19)(font "Arial" )) + (text "signal" (rect 832 8 861 19)(font "Arial" )) (pt 824 16) (pt 808 16) ) @@ -427,76 +411,92 @@ applicable agreement for further details. (bus) ) (connector - (text "s3[22..0]" (rect 296 192 338 203)(font "Arial" )) - (pt 336 200) - (pt 352 200) - (bus) + (text "clk" (rect 304 -8 318 3)(font "Arial" )) + (pt 344 0) + (pt 328 0) +) +(connector + (text "reset" (rect 416 74 427 98)(font "Arial" )(vertical)) + (pt 424 48) + (pt 424 64) +) +(connector + (text "signal" (rect -192 128 -163 139)(font "Arial" )) + (pt -152 136) + (pt -136 136) +) +(connector + (text "signal" (rect -192 144 -163 155)(font "Arial" )) + (pt -152 152) + (pt -136 152) ) (connector - (text "s2[22..0]" (rect 296 208 338 219)(font "Arial" )) - (pt 336 216) - (pt 352 216) + (text "s3[22..0]" (rect 504 144 546 155)(font "Arial" )) + (pt 488 152) + (pt 472 152) (bus) ) (connector - (text "s1[22..0]" (rect 296 224 338 235)(font "Arial" )) - (pt 336 232) - (pt 352 232) + (text "s2[22..0]" (rect 504 200 546 211)(font "Arial" )) + (pt 488 208) + (pt 472 208) (bus) ) (connector - (text "s0[22..0]" (rect 296 240 338 251)(font "Arial" )) - (pt 336 248) - (pt 352 248) + (text "s1[22..0]" (rect 504 256 546 267)(font "Arial" )) + (pt 488 264) + (pt 472 264) (bus) ) (connector - (text "mux_out[22..0]" (rect 520 216 593 227)(font "Arial" )) - (pt 512 224) - (pt 496 224) + (text "s0[22..0]" (rect 504 312 546 323)(font "Arial" )) + (pt 488 320) + (pt 472 320) (bus) ) (connector - (text "s3[22..0]" (rect 472 392 514 403)(font "Arial" )) - (pt 456 400) - (pt 440 400) + (text "s3[22..0]" (rect 608 184 650 195)(font "Arial" )) + (pt 648 192) + (pt 664 192) (bus) ) (connector - (text "s2[22..0]" (rect 472 448 514 459)(font "Arial" )) - (pt 456 456) - (pt 440 456) + (text "s2[22..0]" (rect 608 200 650 211)(font "Arial" )) + (pt 648 208) + (pt 664 208) (bus) ) (connector - (text "s1[22..0]" (rect 472 504 514 515)(font "Arial" )) - (pt 456 512) - (pt 440 512) + (text "s1[22..0]" (rect 608 216 650 227)(font "Arial" )) + (pt 648 224) + (pt 664 224) (bus) ) (connector - (text "s0[22..0]" (rect 472 560 514 571)(font "Arial" )) - (pt 456 568) - (pt 440 568) + (text "s0[22..0]" (rect 608 232 650 243)(font "Arial" )) + (pt 648 240) + (pt 664 240) (bus) ) (connector - (text "clk" (rect 304 -8 319 3)(font "Arial" )) - (pt 344 0) - (pt 328 0) + (text "mux_out[22..0]" (rect 832 208 905 219)(font "Arial" )) + (pt 824 216) + (pt 808 216) + (bus) ) (connector - (text "resetn" (rect 120 152 151 163)(font "Arial" )) - (pt 168 160) - (pt 152 160) + (text "speed[1..0]" (rect 728 289 739 344)(font "Arial" )(vertical)) + (pt 736 264) + (pt 736 288) + (bus) ) (connector - (text "reset" (rect 240 152 265 163)(font "Arial" )) - (pt 216 160) - (pt 232 160) + (text "reset" (rect 648 88 672 99)(font "Arial" )) + (pt 624 96) + (pt 640 96) ) (connector - (text "reset" (rect 416 74 427 99)(font "Arial" )(vertical)) - (pt 424 48) - (pt 424 64) + (text "resetn" (rect 528 88 558 99)(font "Arial" )) + (pt 560 96) + (pt 576 96) ) diff --git a/FPGA/pwm/pwm.qws b/FPGA/pwm/pwm.qws deleted file mode 100644 index 6031e35..0000000 Binary files a/FPGA/pwm/pwm.qws and /dev/null differ diff --git a/FPGA/sound_gene/sound_gene.bdf b/FPGA/sound_gene/sound_gene.bdf index 48effbf..af8ed53 100644 --- a/FPGA/sound_gene/sound_gene.bdf +++ b/FPGA/sound_gene/sound_gene.bdf @@ -22,8 +22,8 @@ applicable agreement for further details. (pin (input) (rect 88 56 264 72) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) - (text "clk" (rect 9 0 24 11)(font "Arial" )) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 23 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -33,14 +33,14 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 32 56 88 72)) ) (pin (input) (rect 88 72 264 88) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) - (text "resetn" (rect 9 0 40 11)(font "Arial" )) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "resetn" (rect 9 0 39 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -50,14 +50,14 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 24 72 88 88)) ) (pin (input) (rect 88 88 264 104) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) - (text "alarm" (rect 9 0 38 11)(font "Arial" )) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "alarm" (rect 9 0 37 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -67,14 +67,14 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 24 88 88 104)) ) (pin (output) - (rect 88 104 264 120) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "end_config" (rect 90 0 145 11)(font "Arial" )) + (rect 88 120 264 136) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "end_config" (rect 90 0 144 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -85,13 +85,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 104 328 120)) + (annotation_block (location)(rect 264 120 328 136)) ) (pin (output) - (rect 88 136 264 152) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "i2c_sclk" (rect 90 0 131 11)(font "Arial" )) + (rect 88 152 264 168) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "i2c_sclk" (rect 90 0 130 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -102,13 +102,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 136 320 152)) + (annotation_block (location)(rect 264 152 320 168)) ) (pin (output) - (rect 88 152 264 168) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "aud_bclk" (rect 90 0 136 11)(font "Arial" )) + (rect 88 168 264 184) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "aud_bclk" (rect 90 0 134 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -119,13 +119,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 152 320 168)) + (annotation_block (location)(rect 264 168 320 184)) ) (pin (output) - (rect 88 168 264 184) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "aud_dacdat" (rect 90 0 149 11)(font "Arial" )) + (rect 88 184 264 200) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "aud_dacdat" (rect 90 0 147 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -136,13 +136,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 168 320 184)) + (annotation_block (location)(rect 264 184 320 200)) ) (pin (output) - (rect 88 184 264 200) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "aud_daclrck" (rect 90 0 151 11)(font "Arial" )) + (rect 88 200 264 216) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "aud_daclrck" (rect 90 0 150 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -153,13 +153,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 184 320 200)) + (annotation_block (location)(rect 264 200 320 216)) ) (pin (output) - (rect 88 200 264 216) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "aud_xck" (rect 90 0 132 11)(font "Arial" )) + (rect 88 216 264 232) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "aud_xck" (rect 90 0 131 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -170,13 +170,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 200 320 216)) + (annotation_block (location)(rect 264 216 320 232)) ) (pin (output) - (rect 88 216 264 232) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "xti_mclk" (rect 90 0 131 11)(font "Arial" )) + (rect 88 232 264 248) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "xti_mclk" (rect 90 0 130 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -187,13 +187,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 216 328 232)) + (annotation_block (location)(rect 264 232 328 248)) ) (pin (output) - (rect 88 232 264 248) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "end_tempo" (rect 90 0 146 11)(font "Arial" )) + (rect 88 248 264 264) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "end_tempo" (rect 90 0 145 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -207,9 +207,9 @@ applicable agreement for further details. ) (pin (bidir) - (rect 88 120 264 136) - (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) - (text "i2c_sdat" (rect 90 0 132 11)(font "Arial" )) + (rect 88 136 264 152) + (text "BIDIR" (rect 1 0 26 10)(font "Arial" (font_size 6))) + (text "i2c_sdat" (rect 90 0 131 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) @@ -220,32 +220,32 @@ applicable agreement for further details. (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) - (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 264 120 320 136)) + (text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 264 136 320 152)) ) (symbol - (rect 544 664 752 776) - (text "dds_sinus" (rect 5 0 55 11)(font "Arial" )) - (text "inst2" (rect 8 96 32 107)(font "Arial" )) + (rect 536 -56 744 56) + (text "dds_sinus" (rect 5 0 54 11)(font "Arial" )) + (text "inst2" (rect 8 96 31 107)(font "Arial" )) (port (pt 0 32) (input) - (text "resetn" (rect 0 0 31 11)(font "Arial" )) - (text "resetn" (rect 21 27 52 38)(font "Arial" )) + (text "resetn" (rect 0 0 30 11)(font "Arial" )) + (text "resetn" (rect 21 27 51 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "clk" (rect 0 0 15 11)(font "Arial" )) - (text "clk" (rect 21 43 36 54)(font "Arial" )) + (text "clk" (rect 0 0 14 11)(font "Arial" )) + (text "clk" (rect 21 43 35 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) - (text "en" (rect 0 0 14 11)(font "Arial" )) - (text "en" (rect 21 59 35 70)(font "Arial" )) + (text "en" (rect 0 0 12 11)(font "Arial" )) + (text "en" (rect 21 59 33 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port @@ -268,31 +268,31 @@ applicable agreement for further details. (drawing (rectangle (rect 16 16 192 96)) ) - (annotation_block (parameter)(rect 752 624 928 664)) + (annotation_block (parameter)(rect 568 -96 744 -56)) ) (symbol - (rect 512 216 768 360) - (text "codec_dac" (rect 5 0 60 11)(font "Arial" )) - (text "inst3" (rect 8 128 32 139)(font "Arial" )) + (rect 512 264 768 408) + (text "codec_dac" (rect 5 0 59 11)(font "Arial" )) + (text "inst3" (rect 8 128 31 139)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 15 11)(font "Arial" )) - (text "clk" (rect 21 27 36 38)(font "Arial" )) + (text "clk" (rect 0 0 14 11)(font "Arial" )) + (text "clk" (rect 21 27 35 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 31 11)(font "Arial" )) - (text "resetn" (rect 21 43 52 54)(font "Arial" )) + (text "resetn" (rect 0 0 30 11)(font "Arial" )) + (text "resetn" (rect 21 43 51 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) - (text "go" (rect 0 0 14 11)(font "Arial" )) - (text "go" (rect 21 59 35 70)(font "Arial" )) + (text "go" (rect 0 0 12 11)(font "Arial" )) + (text "go" (rect 21 59 33 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port @@ -305,36 +305,36 @@ applicable agreement for further details. (port (pt 256 32) (output) - (text "tempo_dac" (rect 0 0 56 11)(font "Arial" )) - (text "tempo_dac" (rect 189 27 245 38)(font "Arial" )) + (text "tempo_dac" (rect 0 0 55 11)(font "Arial" )) + (text "tempo_dac" (rect 189 27 244 38)(font "Arial" )) (line (pt 256 32)(pt 240 32)) ) (port (pt 256 48) (output) - (text "end_dac" (rect 0 0 43 11)(font "Arial" )) - (text "end_dac" (rect 200 43 243 54)(font "Arial" )) + (text "end_dac" (rect 0 0 42 11)(font "Arial" )) + (text "end_dac" (rect 200 43 242 54)(font "Arial" )) (line (pt 256 48)(pt 240 48)) ) (port (pt 256 64) (output) - (text "codec_dac_bclk" (rect 0 0 80 11)(font "Arial" )) - (text "codec_dac_bclk" (rect 169 59 249 70)(font "Arial" )) + (text "codec_dac_bclk" (rect 0 0 79 11)(font "Arial" )) + (text "codec_dac_bclk" (rect 169 59 248 70)(font "Arial" )) (line (pt 256 64)(pt 240 64)) ) (port (pt 256 80) (output) - (text "codec_dac_data" (rect 0 0 82 11)(font "Arial" )) - (text "codec_dac_data" (rect 167 75 249 86)(font "Arial" )) + (text "codec_dac_data" (rect 0 0 81 11)(font "Arial" )) + (text "codec_dac_data" (rect 167 75 248 86)(font "Arial" )) (line (pt 256 80)(pt 240 80)) ) (port (pt 256 96) (output) - (text "codec_dac_lrck" (rect 0 0 77 11)(font "Arial" )) - (text "codec_dac_lrck" (rect 171 91 248 102)(font "Arial" )) + (text "codec_dac_lrck" (rect 0 0 76 11)(font "Arial" )) + (text "codec_dac_lrck" (rect 171 91 247 102)(font "Arial" )) (line (pt 256 96)(pt 240 96)) ) (parameter @@ -360,45 +360,45 @@ applicable agreement for further details. (drawing (rectangle (rect 16 16 240 128)) ) - (annotation_block (parameter)(rect 768 144 1016 216)) + (annotation_block (parameter)(rect 520 192 768 264)) ) (symbol - (rect 560 456 728 568) - (text "codec_config" (rect 5 0 71 11)(font "Arial" )) - (text "inst4" (rect 8 96 32 107)(font "Arial" )) + (rect 560 472 728 584) + (text "codec_config" (rect 5 0 69 11)(font "Arial" )) + (text "inst4" (rect 8 96 31 107)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 15 11)(font "Arial" )) - (text "clk" (rect 21 27 36 38)(font "Arial" )) + (text "clk" (rect 0 0 14 11)(font "Arial" )) + (text "clk" (rect 21 27 35 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 31 11)(font "Arial" )) - (text "resetn" (rect 21 43 52 54)(font "Arial" )) + (text "resetn" (rect 0 0 30 11)(font "Arial" )) + (text "resetn" (rect 21 43 51 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 168 32) (output) - (text "end_config" (rect 0 0 55 11)(font "Arial" )) - (text "end_config" (rect 102 27 157 38)(font "Arial" )) + (text "end_config" (rect 0 0 54 11)(font "Arial" )) + (text "end_config" (rect 102 27 156 38)(font "Arial" )) (line (pt 168 32)(pt 152 32)) ) (port (pt 168 48) (output) - (text "i2c_scl" (rect 0 0 35 11)(font "Arial" )) - (text "i2c_scl" (rect 119 43 154 54)(font "Arial" )) + (text "i2c_scl" (rect 0 0 34 11)(font "Arial" )) + (text "i2c_scl" (rect 119 43 153 54)(font "Arial" )) (line (pt 168 48)(pt 152 48)) ) (port (pt 168 64) (bidir) - (text "i2c_sda" (rect 0 0 40 11)(font "Arial" )) - (text "i2c_sda" (rect 117 59 157 70)(font "Arial" )) + (text "i2c_sda" (rect 0 0 38 11)(font "Arial" )) + (text "i2c_sda" (rect 117 59 155 70)(font "Arial" )) (line (pt 168 64)(pt 152 64)) ) (parameter @@ -414,31 +414,31 @@ applicable agreement for further details. (drawing (rectangle (rect 16 16 152 96)) ) - (annotation_block (parameter)(rect 728 416 920 456)) + (annotation_block (parameter)(rect 536 432 728 472)) ) (symbol (rect 544 -400 704 -320) - (text "clock_divider" (rect 5 0 71 11)(font "Arial" )) - (text "inst9" (rect 8 64 32 75)(font "Arial" )) + (text "clock_divider" (rect 5 0 69 11)(font "Arial" )) + (text "inst9" (rect 8 64 31 75)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 15 11)(font "Arial" )) - (text "clk" (rect 21 27 36 38)(font "Arial" )) + (text "clk" (rect 0 0 14 11)(font "Arial" )) + (text "clk" (rect 21 27 35 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 31 11)(font "Arial" )) - (text "resetn" (rect 21 43 52 54)(font "Arial" )) + (text "resetn" (rect 0 0 30 11)(font "Arial" )) + (text "resetn" (rect 21 43 51 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 160 32) (output) - (text "en_user" (rect 0 0 42 11)(font "Arial" )) - (text "en_user" (rect 105 27 147 38)(font "Arial" )) + (text "en_user" (rect 0 0 41 11)(font "Arial" )) + (text "en_user" (rect 105 27 146 38)(font "Arial" )) (line (pt 160 32)(pt 144 32)) ) (parameter @@ -454,24 +454,24 @@ applicable agreement for further details. (drawing (rectangle (rect 16 16 144 64)) ) - (annotation_block (parameter)(rect 704 -440 889 -401)) + (annotation_block (parameter)(rect 520 -440 705 -401)) ) (symbol - (rect 616 160 664 192) - (text "WIRE" (rect 1 0 26 10)(font "Arial" (font_size 6))) - (text "inst5" (rect 3 21 27 32)(font "Arial" )) + (rect 608 128 656 160) + (text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 21 26 32)(font "Arial" )) (port (pt 0 16) (input) - (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 48 16) (output) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) (line (pt 32 16)(pt 48 16)) ) (drawing @@ -481,8 +481,8 @@ applicable agreement for further details. ) ) (symbol - (rect 608 584 672 632) - (text "AND2" (rect 1 0 29 10)(font "Arial" (font_size 6))) + (rect 864 -144 928 -96) + (text "AND2" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "inst1" (rect 3 37 26 48)(font "Arial" )) (port (pt 0 16) @@ -501,8 +501,8 @@ applicable agreement for further details. (port (pt 64 24) (output) - (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing @@ -513,49 +513,49 @@ applicable agreement for further details. ) ) (symbol - (rect 600 -224 664 -144) - (text "DFFE" (rect 1 0 26 10)(font "Arial" (font_size 6))) - (text "inst10" (rect 3 68 33 79)(font "Arial" )) + (rect 872 -368 936 -288) + (text "DFFE" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst10" (rect 3 68 32 79)(font "Arial" )) (port (pt 32 0) (input) - (text "PRN" (rect 24 13 45 24)(font "Courier New" (bold))) - (text "PRN" (rect 24 11 45 22)(font "Courier New" (bold))) + (text "PRN" (rect 24 13 44 24)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 44 22)(font "Courier New" (bold))) (line (pt 32 4)(pt 32 0)) ) (port (pt 32 80) (input) - (text "CLRN" (rect 21 59 49 70)(font "Courier New" (bold))) - (text "CLRN" (rect 21 58 49 69)(font "Courier New" (bold))) + (text "CLRN" (rect 21 59 48 70)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 48 69)(font "Courier New" (bold))) (line (pt 32 80)(pt 32 76)) ) (port (pt 0 24) (input) - (text "D" (rect 14 20 22 31)(font "Courier New" (bold))) - (text "D" (rect 14 20 22 31)(font "Courier New" (bold))) + (text "D" (rect 14 20 21 31)(font "Courier New" (bold))) + (text "D" (rect 14 20 21 31)(font "Courier New" (bold))) (line (pt 0 24)(pt 12 24)) ) (port (pt 0 40) (input) - (text "CLK" (rect 2 28 23 39)(font "Courier New" (bold))(invisible)) - (text "CLK" (rect 2 28 23 39)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 2 28 22 39)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 2 28 22 39)(font "Courier New" (bold))(invisible)) (line (pt 0 40)(pt 12 40)) ) (port (pt 0 56) (input) - (text "ENA" (rect 14 50 35 61)(font "Courier New" (bold))) - (text "ENA" (rect 14 50 35 61)(font "Courier New" (bold))) + (text "ENA" (rect 14 50 34 61)(font "Courier New" (bold))) + (text "ENA" (rect 14 50 34 61)(font "Courier New" (bold))) (line (pt 0 56)(pt 12 56)) ) (port (pt 64 24) (output) - (text "Q" (rect 44 20 52 31)(font "Courier New" (bold))) - (text "Q" (rect 43 20 51 31)(font "Courier New" (bold))) + (text "Q" (rect 44 20 51 31)(font "Courier New" (bold))) + (text "Q" (rect 43 20 50 31)(font "Courier New" (bold))) (line (pt 53 24)(pt 64 24)) ) (drawing @@ -570,21 +570,21 @@ applicable agreement for further details. ) ) (symbol - (rect 608 -280 656 -248) - (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) - (text "inst12" (rect 3 21 33 32)(font "Arial" )) + (rect 880 -424 928 -392) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst12" (rect 3 21 32 32)(font "Arial" )) (port (pt 0 16) (input) - (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing @@ -595,35 +595,35 @@ applicable agreement for further details. ) ) (symbol - (rect 584 -32 696 56) - (text "BUSMUX" (rect 28 0 96 16)(font "Arial" (font_size 10))) - (text "inst8" (rect 3 77 31 90)(font "Arial" (font_size 8))) + (rect 568 -256 680 -168) + (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) + (text "inst8" (rect 3 77 30 90)(font "Arial" (font_size 8))) (port (pt 0 64) (input) - (text "datab[WIDTH-1..0]" (rect 6 51 112 64)(font "Arial" (font_size 8))) - (text "datab[]" (rect 6 51 46 64)(font "Arial" (font_size 8))) + (text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8))) + (text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) - (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) - (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) + (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) + (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) - (text "dataa[WIDTH-1..0]" (rect 6 19 112 32)(font "Arial" (font_size 8))) - (text "dataa[]" (rect 6 19 46 32)(font "Arial" (font_size 8))) + (text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8))) + (text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) - (text "result[WIDTH-1..0]" (rect 75 35 181 48)(font "Arial" (font_size 8))) - (text "result[]" (rect 75 35 113 48)(font "Arial" (font_size 8))) + (text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8))) + (text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter @@ -633,45 +633,45 @@ applicable agreement for further details. " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing - (text "0" (rect 52 31 60 41)(font "Arial" (font_size 6))) + (text "0" (rect 52 31 59 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) - (annotation_block (parameter)(rect 696 -64 819 -38)) + (annotation_block (parameter)(rect 560 -280 683 -254)) ) (symbol (rect 544 -568 696 -472) - (text "codec_clock" (rect 5 0 76 13)(font "Arial" (font_size 8))) - (text "inst6" (rect 8 81 32 92)(font "Arial" )) + (text "codec_clock" (rect 5 0 75 13)(font "Arial" (font_size 8))) + (text "inst6" (rect 8 81 31 92)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 18 13)(font "Arial" (font_size 8))) - (text "clk" (rect 21 27 39 40)(font "Arial" (font_size 8))) + (text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8))) + (text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 36 13)(font "Arial" (font_size 8))) - (text "resetn" (rect 21 43 57 56)(font "Arial" (font_size 8))) + (text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8))) + (text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 152 32) (output) - (text "xti_mclk_a" (rect 0 0 61 13)(font "Arial" (font_size 8))) - (text "xti_mclk_a" (rect 71 27 132 40)(font "Arial" (font_size 8))) + (text "xti_mclk_a" (rect 0 0 60 13)(font "Arial" (font_size 8))) + (text "xti_mclk_a" (rect 71 27 131 40)(font "Arial" (font_size 8))) (line (pt 152 32)(pt 136 32)) ) (port (pt 152 48) (output) - (text "xti_mclk_b" (rect 0 0 61 13)(font "Arial" (font_size 8))) - (text "xti_mclk_b" (rect 71 43 132 56)(font "Arial" (font_size 8))) + (text "xti_mclk_b" (rect 0 0 60 13)(font "Arial" (font_size 8))) + (text "xti_mclk_b" (rect 71 43 131 56)(font "Arial" (font_size 8))) (line (pt 152 48)(pt 136 48)) ) (drawing @@ -679,206 +679,206 @@ applicable agreement for further details. ) ) (connector - (text "end_config" (rect 440 264 495 275)(font "Arial" )) - (pt 512 280) - (pt 432 280) + (text "clk" (rect 480 -384 494 -373)(font "Arial" )) + (pt 472 -368) + (pt 544 -368) ) (connector - (text "clk" (rect 440 232 455 243)(font "Arial" )) - (pt 512 248) - (pt 432 248) + (text "resetn" (rect 472 -368 502 -357)(font "Arial" )) + (pt 472 -352) + (pt 544 -352) ) (connector - (text "resetn" (rect 440 248 471 259)(font "Arial" )) - (pt 512 264) - (pt 432 264) + (text "clk" (rect 488 -552 502 -541)(font "Arial" )) + (pt 480 -536) + (pt 544 -536) ) (connector - (text "aud_bclk" (rect 776 264 822 275)(font "Arial" )) - (pt 768 280) - (pt 840 280) + (text "resetn" (rect 488 -536 518 -525)(font "Arial" )) + (pt 480 -520) + (pt 544 -520) ) (connector - (text "aud_dacdat" (rect 776 280 835 291)(font "Arial" )) - (pt 768 296) - (pt 840 296) + (text "d_ena" (rect 712 -384 742 -373)(font "Arial" )) + (pt 704 -368) + (pt 768 -368) ) (connector - (text "aud_daclrck" (rect 776 296 837 307)(font "Arial" )) - (pt 768 312) - (pt 840 312) + (text "xti_mclk_a" (rect 704 -552 755 -541)(font "Arial" )) + (pt 696 -536) + (pt 744 -536) ) (connector - (text "end_config" (rect 736 472 791 483)(font "Arial" )) - (pt 728 488) - (pt 816 488) + (text "xti_mclk_b" (rect 704 -536 755 -525)(font "Arial" )) + (pt 696 -520) + (pt 744 -520) ) (connector - (text "i2c_sclk" (rect 736 488 777 499)(font "Arial" )) - (pt 728 504) - (pt 816 504) + (text "end_config" (rect 440 312 494 323)(font "Arial" )) + (pt 512 328) + (pt 432 328) ) (connector - (text "i2c_sdat" (rect 736 504 778 515)(font "Arial" )) - (pt 728 520) - (pt 816 520) + (text "clk" (rect 440 280 454 291)(font "Arial" )) + (pt 512 296) + (pt 432 296) ) (connector - (text "clk" (rect 496 472 511 483)(font "Arial" )) - (pt 488 488) - (pt 560 488) + (text "resetn" (rect 440 296 470 307)(font "Arial" )) + (pt 512 312) + (pt 432 312) ) (connector - (text "resetn" (rect 496 488 527 499)(font "Arial" )) - (pt 488 504) - (pt 560 504) + (text "aud_bclk" (rect 776 312 820 323)(font "Arial" )) + (pt 768 328) + (pt 840 328) ) (connector - (text "resetn" (rect 480 680 511 691)(font "Arial" )) - (pt 544 696) - (pt 472 696) + (text "aud_dacdat" (rect 776 328 833 339)(font "Arial" )) + (pt 768 344) + (pt 840 344) ) (connector - (text "clk" (rect 480 696 495 707)(font "Arial" )) - (pt 544 712) - (pt 472 712) + (text "aud_daclrck" (rect 776 344 836 355)(font "Arial" )) + (pt 768 360) + (pt 840 360) ) (connector - (text "data[15..0]" (rect 440 280 493 291)(font "Arial" )) - (pt 512 296) - (pt 432 296) + (text "data[15..0]" (rect 440 328 493 339)(font "Arial" )) + (pt 512 344) + (pt 432 344) (bus) ) (connector - (text "xti_mclk" (rect 568 160 609 171)(font "Arial" )) - (pt 616 176) - (pt 560 176) + (text "end_tempo" (rect 799 280 854 291)(font "Arial" )) + (pt 768 296) + (pt 840 296) ) (connector - (text "aud_xck" (rect 672 160 714 171)(font "Arial" )) - (pt 664 176) - (pt 720 176) + (text "xti_mclk" (rect 560 128 600 139)(font "Arial" )) + (pt 608 144) + (pt 552 144) ) (connector - (text "end_tempo" (rect 799 232 855 243)(font "Arial" )) - (pt 768 248) - (pt 840 248) + (text "aud_xck" (rect 664 128 705 139)(font "Arial" )) + (pt 656 144) + (pt 712 144) ) (connector - (text "xti_mclk" (rect 544 600 585 611)(font "Arial" )) - (pt 608 616) - (pt 536 616) + (text "end_config" (rect 736 488 790 499)(font "Arial" )) + (pt 728 504) + (pt 816 504) ) (connector - (text "alarm" (rect 544 584 573 595)(font "Arial" )) - (pt 608 600) - (pt 536 600) + (text "i2c_sclk" (rect 736 504 776 515)(font "Arial" )) + (pt 728 520) + (pt 816 520) ) (connector - (text "mksin" (rect 680 592 710 603)(font "Arial" )) - (pt 672 608) - (pt 728 608) + (text "i2c_sdat" (rect 736 520 777 531)(font "Arial" )) + (pt 728 536) + (pt 816 536) ) (connector - (text "mksin" (rect 480 712 510 723)(font "Arial" )) - (pt 544 728) - (pt 472 728) + (text "clk" (rect 496 488 510 499)(font "Arial" )) + (pt 488 504) + (pt 560 504) ) (connector - (text "data[15..0]" (rect 760 680 813 691)(font "Arial" )) - (pt 752 696) - (pt 784 696) - (bus) + (text "resetn" (rect 496 504 526 515)(font "Arial" )) + (pt 488 520) + (pt 560 520) ) (connector - (text "q" (rect 624 81 635 89)(font "Arial" )(vertical)) - (pt 640 56) - (pt 640 104) + (text "q" (rect 608 -143 619 -136)(font "Arial" )(vertical)) + (pt 624 -168) + (pt 624 -120) ) (connector - (text "xti_mclk_a" (rect 504 -16 557 -5)(font "Arial" )) - (pt 496 0) - (pt 584 0) + (text "xti_mclk_a" (rect 488 -240 539 -229)(font "Arial" )) + (pt 480 -224) + (pt 568 -224) (bus) ) (connector - (text "xti_mclk_b" (rect 504 16 557 27)(font "Arial" )) - (pt 496 32) - (pt 584 32) + (text "xti_mclk_b" (rect 488 -208 539 -197)(font "Arial" )) + (pt 480 -192) + (pt 568 -192) (bus) ) (connector - (text "xti_mclk" (rect 704 0 745 11)(font "Arial" )) - (pt 696 16) - (pt 768 16) + (text "xti_mclk" (rect 688 -224 728 -213)(font "Arial" )) + (pt 680 -208) + (pt 752 -208) ) (connector - (text "resetn" (rect 616 -147 627 -116)(font "Arial" )(vertical)) - (pt 632 -144) - (pt 632 -104) + (text "d" (rect 816 -360 823 -349)(font "Arial" )) + (pt 808 -344) + (pt 872 -344) ) (connector - (text "clk" (rect 480 -384 495 -373)(font "Arial" )) - (pt 472 -368) - (pt 544 -368) + (text "clk" (rect 816 -344 830 -333)(font "Arial" )) + (pt 808 -328) + (pt 872 -328) ) (connector - (text "resetn" (rect 472 -368 503 -357)(font "Arial" )) - (pt 472 -352) - (pt 544 -352) + (text "d_ena" (rect 816 -328 846 -317)(font "Arial" )) + (pt 808 -312) + (pt 872 -312) ) (connector - (text "d" (rect 544 -216 552 -205)(font "Arial" )) - (pt 536 -200) - (pt 600 -200) + (text "q" (rect 832 -424 839 -413)(font "Arial" )) + (pt 824 -408) + (pt 880 -408) ) (connector - (text "clk" (rect 544 -200 559 -189)(font "Arial" )) - (pt 536 -184) - (pt 600 -184) + (text "q" (rect 944 -360 951 -349)(font "Arial" )) + (pt 936 -344) + (pt 976 -344) ) (connector - (text "d_ena" (rect 544 -184 575 -173)(font "Arial" )) - (pt 536 -168) - (pt 600 -168) + (text "d" (rect 936 -424 943 -413)(font "Arial" )) + (pt 928 -408) + (pt 984 -408) ) (connector - (text "q" (rect 560 -280 568 -269)(font "Arial" )) - (pt 552 -264) - (pt 608 -264) + (text "resetn" (rect 888 -291 899 -261)(font "Arial" )(vertical)) + (pt 904 -288) + (pt 904 -248) ) (connector - (text "clk" (rect 488 -552 503 -541)(font "Arial" )) - (pt 480 -536) - (pt 544 -536) + (text "xti_mclk" (rect 800 -128 840 -117)(font "Arial" )) + (pt 864 -112) + (pt 792 -112) ) (connector - (text "resetn" (rect 488 -536 519 -525)(font "Arial" )) - (pt 480 -520) - (pt 544 -520) + (text "alarm" (rect 800 -144 828 -133)(font "Arial" )) + (pt 864 -128) + (pt 792 -128) ) (connector - (text "d_ena" (rect 712 -384 743 -373)(font "Arial" )) - (pt 704 -368) - (pt 768 -368) + (text "mksin" (rect 936 -136 965 -125)(font "Arial" )) + (pt 928 -120) + (pt 984 -120) ) (connector - (text "q" (rect 672 -216 680 -205)(font "Arial" )) - (pt 664 -200) - (pt 704 -200) + (text "data[15..0]" (rect 752 -40 805 -29)(font "Arial" )) + (pt 744 -24) + (pt 776 -24) + (bus) ) (connector - (text "d" (rect 664 -280 672 -269)(font "Arial" )) - (pt 656 -264) - (pt 712 -264) + (text "resetn" (rect 472 -40 502 -29)(font "Arial" )) + (pt 464 -24) + (pt 536 -24) ) (connector - (text "xti_mclk_a" (rect 704 -552 757 -541)(font "Arial" )) - (pt 696 -536) - (pt 744 -536) + (text "clk" (rect 472 -24 486 -13)(font "Arial" )) + (pt 464 -8) + (pt 536 -8) ) (connector - (text "xti_mclk_b" (rect 704 -536 757 -525)(font "Arial" )) - (pt 696 -520) - (pt 744 -520) + (text "mksin" (rect 472 -8 501 3)(font "Arial" )) + (pt 464 8) + (pt 536 8) ) diff --git a/FPGA/sound_gene/sound_gene.qws b/FPGA/sound_gene/sound_gene.qws index d7ed2ff..63563b7 100644 Binary files a/FPGA/sound_gene/sound_gene.qws and b/FPGA/sound_gene/sound_gene.qws differ -- cgit v1.2.3