From e170ee1dd323f3f99e154bd5daf026fc8f423465 Mon Sep 17 00:00:00 2001 From: Pacien TRAN-GIRARD Date: Mon, 16 Jun 2014 00:04:05 +0200 Subject: Refactoring --- FPGA/commande/alarm.bdf | 10 +- FPGA/commande/commande.bdf | 150 ++++---- FPGA/commande/commande.qsf | 11 +- FPGA/commande/commande.qws | Bin 1415 -> 881 bytes FPGA/commande/fan.bdf | 376 +++++++++++------- FPGA/commande/lpm_compare_00.bsf | 56 +++ FPGA/commande/lpm_compare_00.cmp | 22 ++ FPGA/commande/lpm_compare_00.qip | 5 + FPGA/commande/lpm_compare_00.vhd | 131 +++++++ FPGA/commande/lpm_constant_00.bsf | 49 +++ FPGA/commande/lpm_constant_00.cmp | 21 + FPGA/commande/lpm_constant_00.qip | 5 + FPGA/commande/lpm_constant_00.vhd | 109 ++++++ FPGA/commande/lpm_constant_11.bsf | 49 +++ FPGA/commande/lpm_constant_11.cmp | 21 + FPGA/commande/lpm_constant_11.qip | 5 + FPGA/commande/lpm_constant_11.vhd | 109 ++++++ FPGA/display/display.bdf | 437 ++++++++++----------- FPGA/display/display.qsf | 4 +- FPGA/display/display.qws | Bin 842 -> 613 bytes FPGA/display/lpm_constant7nada.bsf | 49 --- FPGA/display/lpm_constant7nada.cmp | 21 - FPGA/display/lpm_constant7nada.qip | 5 - FPGA/display/lpm_constant7nada.vhd | 109 ------ FPGA/display/lpm_constant_1.bsf | 49 --- FPGA/display/lpm_constant_1.cmp | 21 - FPGA/display/lpm_constant_1.qip | 5 - FPGA/display/lpm_constant_1.vhd | 109 ------ FPGA/display/lpm_constant_2x0.bsf | 49 +++ FPGA/display/lpm_constant_2x0.cmp | 21 + FPGA/display/lpm_constant_2x0.qip | 5 + FPGA/display/lpm_constant_2x0.vhd | 109 ++++++ FPGA/display/lpm_constant_7x1.bsf | 49 +++ FPGA/display/lpm_constant_7x1.cmp | 21 + FPGA/display/lpm_constant_7x1.qip | 5 + FPGA/display/lpm_constant_7x1.vhd | 109 ++++++ FPGA/display/useless.bdf | 762 ++++++++++++++++++------------------- FPGA/top/top.bdf | 646 +++++++++++++++---------------- FPGA/top/top.qsf | 7 +- FPGA/top/top.qws | Bin 2997 -> 1623 bytes FPGA/vhdl/message.vhd | 2 +- FPGA/vhdl/message.vhd.bak | 71 ++++ 42 files changed, 2253 insertions(+), 1541 deletions(-) create mode 100644 FPGA/commande/lpm_compare_00.bsf create mode 100644 FPGA/commande/lpm_compare_00.cmp create mode 100644 FPGA/commande/lpm_compare_00.qip create mode 100644 FPGA/commande/lpm_compare_00.vhd create mode 100644 FPGA/commande/lpm_constant_00.bsf create mode 100644 FPGA/commande/lpm_constant_00.cmp create mode 100644 FPGA/commande/lpm_constant_00.qip create mode 100644 FPGA/commande/lpm_constant_00.vhd create mode 100644 FPGA/commande/lpm_constant_11.bsf create mode 100644 FPGA/commande/lpm_constant_11.cmp create mode 100644 FPGA/commande/lpm_constant_11.qip create mode 100644 FPGA/commande/lpm_constant_11.vhd delete mode 100644 FPGA/display/lpm_constant7nada.bsf delete mode 100644 FPGA/display/lpm_constant7nada.cmp delete mode 100644 FPGA/display/lpm_constant7nada.qip delete mode 100644 FPGA/display/lpm_constant7nada.vhd delete mode 100644 FPGA/display/lpm_constant_1.bsf delete mode 100644 FPGA/display/lpm_constant_1.cmp delete mode 100644 FPGA/display/lpm_constant_1.qip delete mode 100644 FPGA/display/lpm_constant_1.vhd create mode 100644 FPGA/display/lpm_constant_2x0.bsf create mode 100644 FPGA/display/lpm_constant_2x0.cmp create mode 100644 FPGA/display/lpm_constant_2x0.qip create mode 100644 FPGA/display/lpm_constant_2x0.vhd create mode 100644 FPGA/display/lpm_constant_7x1.bsf create mode 100644 FPGA/display/lpm_constant_7x1.cmp create mode 100644 FPGA/display/lpm_constant_7x1.qip create mode 100644 FPGA/display/lpm_constant_7x1.vhd create mode 100644 FPGA/vhdl/message.vhd.bak diff --git a/FPGA/commande/alarm.bdf b/FPGA/commande/alarm.bdf index 1ad591a..11d21de 100644 --- a/FPGA/commande/alarm.bdf +++ b/FPGA/commande/alarm.bdf @@ -23,7 +23,7 @@ applicable agreement for further details. (input) (rect 24 16 192 32) (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) - (text "clk" (rect 5 0 20 11)(font "Arial" )) + (text "clk" (rect 5 0 19 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -39,7 +39,7 @@ applicable agreement for further details. (input) (rect 24 32 192 48) (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) - (text "resetn" (rect 5 0 36 11)(font "Arial" )) + (text "resetn" (rect 5 0 35 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -55,7 +55,7 @@ applicable agreement for further details. (input) (rect 24 48 192 64) (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) - (text "enable" (rect 5 0 39 11)(font "Arial" )) + (text "enable" (rect 5 0 38 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -71,7 +71,7 @@ applicable agreement for further details. (input) (rect 24 64 192 80) (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) - (text "activate" (rect 5 0 44 11)(font "Arial" )) + (text "activate" (rect 5 0 43 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -85,7 +85,7 @@ applicable agreement for further details. ) (pin (output) - (rect 424 16 600 32) + (rect 24 96 200 112) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "alarm" (rect 90 0 118 11)(font "Arial" )) (pt 0 8) diff --git a/FPGA/commande/commande.bdf b/FPGA/commande/commande.bdf index da0633a..17dc6e2 100644 --- a/FPGA/commande/commande.bdf +++ b/FPGA/commande/commande.bdf @@ -34,7 +34,6 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 0 16 56 32)) ) (pin (input) @@ -51,7 +50,6 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect -8 32 56 48)) ) (pin (input) @@ -68,7 +66,6 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect -8 64 56 80)) ) (pin (input) @@ -85,7 +82,6 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect -8 80 56 96)) ) (pin (input) @@ -102,7 +98,6 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 0 96 56 112)) ) (pin (input) @@ -119,7 +114,6 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 0 112 56 128)) ) (pin (input) @@ -136,11 +130,10 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect -8 48 56 64)) ) (pin (output) - (rect 648 0 824 16) + (rect 56 128 232 144) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "speed[1..0]" (rect 90 0 145 11)(font "Arial" )) (pt 0 8) @@ -153,11 +146,10 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 824 16 888 32)) ) (pin (output) - (rect 648 16 824 32) + (rect 56 144 232 160) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "fan_auto" (rect 90 0 133 11)(font "Arial" )) (pt 0 8) @@ -170,11 +162,10 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 824 32 888 48)) ) (pin (output) - (rect 648 32 824 48) + (rect 56 160 232 176) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "alarm" (rect 90 0 118 11)(font "Arial" )) (pt 0 8) @@ -187,10 +178,9 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 824 48 888 64)) ) (symbol - (rect 384 0 512 128) + (rect 456 0 584 128) (text "alarm" (rect 5 0 36 13)(font "Arial" (font_size 8))) (text "alarm_block" (rect 8 113 68 124)(font "Arial" )) (port @@ -233,7 +223,32 @@ applicable agreement for further details. ) ) (symbol - (rect 344 192 544 320) + (rect 496 144 544 176) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "not_block" (rect 3 21 50 32)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 48 18)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 192 616 320) (text "fan" (rect 5 0 22 13)(font "Arial" (font_size 8))) (text "fan_block" (rect 8 113 55 124)(font "Arial" )) (port @@ -282,95 +297,70 @@ applicable agreement for further details. (rectangle (rect 16 16 184 112)) ) ) -(symbol - (rect 424 144 472 176) - (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) - (text "not_block" (rect 3 21 50 32)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) (connector - (text "alarm" (rect 520 16 548 27)(font "Arial" )) - (pt 512 32) - (pt 528 32) + (text "alarm" (rect 592 16 620 27)(font "Arial" )) + (pt 584 32) + (pt 600 32) ) (connector - (text "not_alarm" (rect 480 144 529 155)(font "Arial" )) - (pt 472 160) - (pt 488 160) + (text "not_alarm" (rect 552 144 601 155)(font "Arial" )) + (pt 544 160) + (pt 560 160) ) (connector - (text "fan_auto" (rect 552 208 595 219)(font "Arial" )) - (pt 544 224) - (pt 560 224) + (text "fan_auto" (rect 624 208 667 219)(font "Arial" )) + (pt 616 224) + (pt 632 224) ) (connector - (text "speed[1..0]" (rect 552 224 607 235)(font "Arial" )) - (pt 544 240) - (pt 560 240) + (text "speed[1..0]" (rect 624 224 679 235)(font "Arial" )) + (pt 616 240) + (pt 632 240) (bus) ) (connector - (text "clk" (rect 296 16 310 27)(font "Arial" )) - (pt 288 32) - (pt 384 32) + (text "resetn" (rect 368 32 398 43)(font "Arial" )) + (pt 360 48) + (pt 456 48) ) (connector - (text "resetn" (rect 296 32 326 43)(font "Arial" )) - (pt 288 48) - (pt 384 48) + (text "alarm_user" (rect 368 48 424 59)(font "Arial" )) + (pt 360 64) + (pt 456 64) ) (connector - (text "alarm_user" (rect 296 48 352 59)(font "Arial" )) - (pt 288 64) - (pt 384 64) + (text "sound_high_level" (rect 368 64 454 75)(font "Arial" )) + (pt 360 80) + (pt 456 80) ) (connector - (text "sound_high_level" (rect 296 64 382 75)(font "Arial" )) - (pt 288 80) - (pt 384 80) + (text "not_alarm" (rect 344 208 393 219)(font "Arial" )) + (pt 336 224) + (pt 416 224) ) (connector - (text "not_alarm" (rect 272 208 321 219)(font "Arial" )) - (pt 264 224) - (pt 344 224) + (text "fan_auto_user" (rect 344 224 415 235)(font "Arial" )) + (pt 336 240) + (pt 416 240) ) (connector - (text "fan_auto_user" (rect 272 224 343 235)(font "Arial" )) - (pt 264 240) - (pt 344 240) + (text "speed_user[1..0]" (rect 344 240 426 251)(font "Arial" )) + (pt 336 256) + (pt 416 256) + (bus) ) (connector - (text "speed_user[1..0]" (rect 272 240 354 251)(font "Arial" )) - (pt 264 256) - (pt 344 256) - (bus) + (text "hot" (rect 344 256 359 267)(font "Arial" )) + (pt 336 272) + (pt 416 272) ) (connector - (text "hot" (rect 272 256 287 267)(font "Arial" )) - (pt 264 272) - (pt 344 272) + (text "alarm" (rect 464 144 492 155)(font "Arial" )) + (pt 456 160) + (pt 496 160) ) (connector - (text "alarm" (rect 392 144 420 155)(font "Arial" )) - (pt 384 160) - (pt 424 160) + (text "clk" (rect 368 16 382 27)(font "Arial" )) + (pt 360 32) + (pt 456 32) ) diff --git a/FPGA/commande/commande.qsf b/FPGA/commande/commande.qsf index e64ba9e..969331f 100644 --- a/FPGA/commande/commande.qsf +++ b/FPGA/commande/commande.qsf @@ -48,13 +48,9 @@ set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 set_global_assignment -name USE_CONFIGURATION_DEVICE ON set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" -set_global_assignment -name BDF_FILE commande.bdf -set_global_assignment -name BDF_FILE alarm.bdf set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_global_assignment -name BDF_FILE fan.bdf -set_global_assignment -name TCL_SCRIPT_FILE commande_pin.tcl set_location_assignment PIN_N2 -to clk set_location_assignment PIN_G26 -to resetn set_location_assignment PIN_AE14 -to speed_user[1] @@ -69,4 +65,11 @@ set_location_assignment PIN_AF23 -to fan_auto set_location_assignment PIN_AE23 -to alarm set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" +set_global_assignment -name QIP_FILE lpm_constant_11.qip +set_global_assignment -name QIP_FILE lpm_constant_00.qip +set_global_assignment -name QIP_FILE lpm_compare_00.qip +set_global_assignment -name BDF_FILE commande.bdf +set_global_assignment -name BDF_FILE alarm.bdf +set_global_assignment -name BDF_FILE fan.bdf +set_global_assignment -name TCL_SCRIPT_FILE commande_pin.tcl set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/FPGA/commande/commande.qws b/FPGA/commande/commande.qws index da57b8d..e4cc1ba 100644 Binary files a/FPGA/commande/commande.qws and b/FPGA/commande/commande.qws differ diff --git a/FPGA/commande/fan.bdf b/FPGA/commande/fan.bdf index 7a62110..efc37dc 100644 --- a/FPGA/commande/fan.bdf +++ b/FPGA/commande/fan.bdf @@ -85,9 +85,9 @@ applicable agreement for further details. ) (pin (output) - (rect 664 24 840 40) + (rect 56 120 232 136) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "fan_auto" (rect 90 0 133 11)(font "Arial" )) + (text "speed[1..0]" (rect 90 0 145 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -101,9 +101,9 @@ applicable agreement for further details. ) (pin (output) - (rect 664 40 840 56) + (rect 56 104 232 120) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "speed[1..0]" (rect 90 0 145 11)(font "Arial" )) + (text "fan_auto" (rect 90 0 133 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -116,9 +116,132 @@ applicable agreement for further details. ) ) (symbol - (rect 368 440 480 528) + (rect 376 256 488 304) + (text "lpm_constant_00" (rect 7 0 127 16)(font "Arial" (font_size 10))) + (text "const0" (rect 8 33 41 44)(font "Arial" )) + (port + (pt 112 24) + (output) + (text "result[1..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) + (text "0" (rect 87 18 94 31)(font "Arial" (font_size 8))) + (line (pt 112 24)(pt 96 24)(line_width 3)) + ) + (drawing + (text "2" (rect 99 27 106 38)(font "Arial" )) + (line (pt 106 20)(pt 98 28)) + (line (pt 16 16)(pt 16 32)) + (line (pt 16 16)(pt 96 16)) + (line (pt 16 32)(pt 96 32)) + (line (pt 96 16)(pt 96 32)) + (line (pt 0 0)(pt 114 0)) + (line (pt 114 0)(pt 114 50)) + (line (pt 0 50)(pt 114 50)) + (line (pt 0 0)(pt 0 50)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) +(symbol + (rect 376 192 488 240) + (text "lpm_constant_11" (rect 7 0 127 16)(font "Arial" (font_size 10))) + (text "const1" (rect 8 33 41 44)(font "Arial" )) + (port + (pt 112 24) + (output) + (text "result[1..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) + (text "3" (rect 87 18 94 31)(font "Arial" (font_size 8))) + (line (pt 112 24)(pt 96 24)(line_width 3)) + ) + (drawing + (text "2" (rect 99 27 106 38)(font "Arial" )) + (line (pt 106 20)(pt 98 28)) + (line (pt 16 16)(pt 16 32)) + (line (pt 16 16)(pt 96 16)) + (line (pt 16 32)(pt 96 32)) + (line (pt 96 16)(pt 96 32)) + (line (pt 0 0)(pt 114 0)) + (line (pt 114 0)(pt 114 50)) + (line (pt 0 50)(pt 114 50)) + (line (pt 0 0)(pt 0 50)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) +(symbol + (rect 368 -16 496 80) + (text "lpm_compare_00" (rect 13 0 134 16)(font "Arial" (font_size 10))) + (text "compare00" (rect 8 81 63 92)(font "Arial" )) + (port + (pt 0 48) + (input) + (text "dataa[1..0]" (rect 0 0 60 13)(font "Arial" (font_size 8))) + (text "dataa[1..0]" (rect 20 42 80 55)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 128 56) + (output) + (text "aeb" (rect 0 0 21 13)(font "Arial" (font_size 8))) + (text "aeb" (rect 91 50 112 63)(font "Arial" (font_size 8))) + (line (pt 128 56)(pt 112 56)) + ) + (drawing + (text "datab[]=0" (rect 20 58 73 71)(font "Arial" (font_size 8))) + (text "compare" (rect 76 27 119 38)(font "Arial" )) + (line (pt 16 16)(pt 16 80)) + (line (pt 16 16)(pt 112 16)) + (line (pt 16 80)(pt 112 80)) + (line (pt 112 16)(pt 112 80)) + (line (pt 0 0)(pt 129 0)) + (line (pt 129 0)(pt 129 98)) + (line (pt 0 98)(pt 129 98)) + (line (pt 0 0)(pt 0 98)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) +(symbol + (rect 400 112 464 160) + (text "AND2" (rect 1 0 28 10)(font "Arial" (font_size 6))) + (text "and_f" (rect 3 37 31 48)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 664 88 776 176) (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) - (text "inst1" (rect 3 77 26 88)(font "Arial" )) + (text "mux_auto" (rect 3 77 51 88)(font "Arial" )) (port (pt 0 64) (input) @@ -161,12 +284,12 @@ applicable agreement for further details. (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) - (annotation_block (parameter)(rect 480 408 600 434)) + (annotation_block (parameter)(rect 776 56 896 82)) ) (symbol - (rect 368 256 480 344) + (rect 664 272 776 360) (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) - (text "inst3" (rect 3 77 26 88)(font "Arial" )) + (text "mux_ena" (rect 3 77 49 88)(font "Arial" )) (port (pt 0 64) (input) @@ -209,201 +332,160 @@ applicable agreement for further details. (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) - (annotation_block (parameter)(rect 480 224 600 250)) -) -(symbol - (rect 392 208 424 240) - (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) - (text "inst" (rect 3 21 20 32)(font "Arial" )(invisible)) - (port - (pt 16 0) - (output) - (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) - (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) - (line (pt 16 8)(pt 16 0)) - ) - (drawing - (line (pt 8 8)(pt 16 16)) - (line (pt 16 16)(pt 24 8)) - (line (pt 8 8)(pt 24 8)) - ) + (annotation_block (parameter)(rect 776 240 896 266)) ) (symbol - (rect 384 32 432 64) - (text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6))) - (text "inst4" (rect 3 21 26 32)(font "Arial" )) + (rect 664 -96 776 -8) + (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) + (text "mux_auto10" (rect 3 77 64 88)(font "Arial" )) (port - (pt 0 16) + (pt 0 64) (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 14 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) - (line (pt 32 16)(pt 48 16)) - ) - (drawing - (line (pt 14 7)(pt 32 16)) - (line (pt 14 25)(pt 14 7)) - (line (pt 14 25)(pt 32 16)) + (text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8))) + (text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 44 64)(line_width 3)) ) -) -(symbol - (rect 384 80 432 112) - (text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6))) - (text "inst5" (rect 3 21 26 32)(font "Arial" )) (port - (pt 0 16) + (pt 56 88) (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 14 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) - (line (pt 32 16)(pt 48 16)) - ) - (drawing - (line (pt 14 7)(pt 32 16)) - (line (pt 14 25)(pt 14 7)) - (line (pt 14 25)(pt 32 16)) + (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) + (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) + (line (pt 56 88)(pt 56 72)) ) -) -(symbol - (rect 384 112 432 144) - (text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6))) - (text "inst6" (rect 3 21 26 32)(font "Arial" )) (port - (pt 0 16) + (pt 0 32) (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 14 16)) + (text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8))) + (text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port - (pt 48 16) + (pt 112 48) (output) - (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) - (line (pt 32 16)(pt 48 16)) + (text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8))) + (text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8))) + (line (pt 68 48)(pt 112 48)(line_width 3)) + ) + (parameter + "WIDTH" + "2" + "Width of I/O, any integer > 0" + " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing - (line (pt 14 7)(pt 32 16)) - (line (pt 14 25)(pt 14 7)) - (line (pt 14 25)(pt 32 16)) + (text "0" (rect 52 31 59 41)(font "Arial" (font_size 6))) + (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) + (line (pt 68 64)(pt 68 32)) + (line (pt 44 80)(pt 44 16)) + (line (pt 44 16)(pt 68 32)) + (line (pt 44 80)(pt 68 64)) ) + (annotation_block (parameter)(rect 776 -128 896 -102)) ) (connector - (text "fan_auto_user" (rect 312 32 383 43)(font "Arial" )) - (pt 384 48) - (pt 304 48) -) -(connector - (text "fan_auto" (rect 448 32 491 43)(font "Arial" )) - (pt 432 48) - (pt 448 48) + (text "no_manual" (rect 505 24 560 35)(font "Arial" )) + (pt 496 40) + (pt 560 40) ) (connector - (pt 384 128) - (pt 368 128) -) -(connector - (pt 384 96) - (pt 368 96) + (text "fan_speed[1..0]" (rect 296 16 372 27)(font "Arial" )) + (pt 368 32) + (pt 288 32) + (bus) ) (connector - (text "hot" (rect 312 96 327 107)(font "Arial" )) - (pt 304 112) - (pt 368 112) + (text "fan_speed[1..0]" (rect 584 104 660 115)(font "Arial" )) + (pt 584 120) + (pt 664 120) + (bus) ) (connector - (pt 368 96) - (pt 368 112) + (text "fan_value[1..0]" (rect 586 320 659 331)(font "Arial" )) + (pt 664 336) + (pt 584 336) + (bus) ) (connector - (pt 368 112) - (pt 368 128) + (text "fan_off[1..0]" (rect 583 288 642 299)(font "Arial" )) + (pt 664 304) + (pt 584 304) + (bus) ) (connector - (text "fan_hot[1]" (rect 442 80 491 91)(font "Arial" )) - (pt 432 96) - (pt 448 96) + (text "enable" (rect 704 364 715 397)(font "Arial" )(vertical)) + (pt 720 360) + (pt 720 408) ) (connector - (text "fan_hot[0]" (rect 440 112 489 123)(font "Arial" )) - (pt 432 128) - (pt 448 128) + (text "speed[1..0]" (rect 792 304 847 315)(font "Arial" )) + (pt 776 320) + (pt 792 320) + (bus) ) (connector - (text "fan_speed[1..0]" (rect 296 272 372 283)(font "Arial" )) - (pt 288 288) - (pt 368 288) + (text "fan_value[1..0]" (rect 791 120 864 131)(font "Arial" )) + (pt 776 136) + (pt 792 136) (bus) ) (connector - (text "fan_value[1..0]" (rect 298 488 371 499)(font "Arial" )) - (pt 368 504) - (pt 288 504) - (bus) + (text "fan_auto" (rect 704 179 715 222)(font "Arial" )(vertical)) + (pt 720 224) + (pt 720 176) ) (connector - (text "fan_off[1..0]" (rect 295 456 354 467)(font "Arial" )) - (pt 368 472) - (pt 288 472) + (text "fan_on[1..0]" (rect 582 -48 641 -37)(font "Arial" )) + (pt 584 -32) + (pt 664 -32) (bus) ) (connector - (text "enable" (rect 408 532 419 565)(font "Arial" )(vertical)) - (pt 424 528) - (pt 424 576) + (text "hot" (rect 704 19 715 34)(font "Arial" )(vertical)) + (pt 720 40) + (pt 720 -8) ) (connector - (text "speed[1..0]" (rect 496 472 551 483)(font "Arial" )) - (pt 480 488) - (pt 496 488) + (text "fan_auto_value[1..0]" (rect 582 136 682 147)(font "Arial" )) + (pt 584 152) + (pt 664 152) (bus) ) (connector - (text "fan_value[1..0]" (rect 495 288 568 299)(font "Arial" )) - (pt 480 304) - (pt 496 304) + (text "fan_auto_value[1..0]" (rect 791 -64 891 -53)(font "Arial" )) + (pt 776 -48) + (pt 792 -48) (bus) ) (connector - (text "fan_off[0]" (rect 424 176 470 187)(font "Arial" )) - (pt 408 192) - (pt 424 192) + (text "fan_off[1..0]" (rect 583 -80 642 -69)(font "Arial" )) + (pt 664 -64) + (pt 584 -64) + (bus) ) (connector - (text "fan_off[1]" (rect 419 160 465 171)(font "Arial" )) - (pt 408 176) - (pt 424 176) + (text "fan_on[1..0]" (rect 496 200 555 211)(font "Arial" )) + (pt 520 216) + (pt 488 216) + (bus) ) (connector - (text "fan_hot[1..0]" (rect 296 304 357 315)(font "Arial" )) - (pt 288 320) - (pt 368 320) + (text "fan_off[1..0]" (rect 496 264 555 275)(font "Arial" )) + (pt 488 280) + (pt 520 280) (bus) ) (connector - (pt 408 176) - (pt 408 192) + (text "fan_auto" (rect 480 120 523 131)(font "Arial" )) + (pt 496 136) + (pt 464 136) ) (connector - (pt 408 192) - (pt 408 208) + (text "fan_auto_user" (rect 328 112 399 123)(font "Arial" )) + (pt 400 128) + (pt 320 128) ) (connector - (text "fan_auto_user" (rect 408 339 419 410)(font "Arial" )(vertical)) - (pt 424 344) - (pt 424 416) + (text "no_manual" (rect 328 128 383 139)(font "Arial" )) + (pt 400 144) + (pt 320 144) ) -(junction (pt 368 112)) -(junction (pt 408 192)) diff --git a/FPGA/commande/lpm_compare_00.bsf b/FPGA/commande/lpm_compare_00.bsf new file mode 100644 index 0000000..030b059 --- /dev/null +++ b/FPGA/commande/lpm_compare_00.bsf @@ -0,0 +1,56 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.2")) +(symbol + (rect 0 0 128 96) + (text "lpm_compare_00" (rect 13 0 134 16)(font "Arial" (font_size 10))) + (text "inst" (rect 8 81 25 92)(font "Arial" )) + (port + (pt 0 48) + (input) + (text "dataa[1..0]" (rect 0 0 60 13)(font "Arial" (font_size 8))) + (text "dataa[1..0]" (rect 20 42 70 54)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 128 56) + (output) + (text "aeb" (rect 0 0 21 13)(font "Arial" (font_size 8))) + (text "aeb" (rect 91 50 108 62)(font "Arial" (font_size 8))) + (line (pt 128 56)(pt 112 56)) + ) + (drawing + (text "datab[]=0" (rect 20 58 84 128)(font "Arial" (font_size 8))) + (text "compare" (rect 76 27 187 64)(font "Arial" )) + (line (pt 16 16)(pt 16 80)) + (line (pt 16 16)(pt 112 16)) + (line (pt 16 80)(pt 112 80)) + (line (pt 112 16)(pt 112 80)) + (line (pt 0 0)(pt 129 0)) + (line (pt 129 0)(pt 129 98)) + (line (pt 0 98)(pt 129 98)) + (line (pt 0 0)(pt 0 98)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) diff --git a/FPGA/commande/lpm_compare_00.cmp b/FPGA/commande/lpm_compare_00.cmp new file mode 100644 index 0000000..899c7e1 --- /dev/null +++ b/FPGA/commande/lpm_compare_00.cmp @@ -0,0 +1,22 @@ +--Copyright (C) 1991-2013 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +component lpm_compare_00 + PORT + ( + dataa : IN STD_LOGIC_VECTOR (1 DOWNTO 0); + aeb : OUT STD_LOGIC + ); +end component; diff --git a/FPGA/commande/lpm_compare_00.qip b/FPGA/commande/lpm_compare_00.qip new file mode 100644 index 0000000..16fb5e8 --- /dev/null +++ b/FPGA/commande/lpm_compare_00.qip @@ -0,0 +1,5 @@ +set_global_assignment -name IP_TOOL_NAME "LPM_COMPARE" +set_global_assignment -name IP_TOOL_VERSION "13.0" +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "lpm_compare_00.vhd"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_compare_00.bsf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_compare_00.cmp"] diff --git a/FPGA/commande/lpm_compare_00.vhd b/FPGA/commande/lpm_compare_00.vhd new file mode 100644 index 0000000..39b7dff --- /dev/null +++ b/FPGA/commande/lpm_compare_00.vhd @@ -0,0 +1,131 @@ +-- megafunction wizard: %LPM_COMPARE% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: LPM_COMPARE + +-- ============================================================ +-- File Name: lpm_compare_00.vhd +-- Megafunction Name(s): +-- LPM_COMPARE +-- +-- Simulation Library Files(s): +-- lpm +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +-- ************************************************************ + + +--Copyright (C) 1991-2013 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +LIBRARY lpm; +USE lpm.all; + +ENTITY lpm_compare_00 IS + PORT + ( + dataa : IN STD_LOGIC_VECTOR (1 DOWNTO 0); + aeb : OUT STD_LOGIC + ); +END lpm_compare_00; + + +ARCHITECTURE SYN OF lpm_compare_00 IS + + SIGNAL sub_wire0 : STD_LOGIC ; + SIGNAL sub_wire1_bv : BIT_VECTOR (1 DOWNTO 0); + SIGNAL sub_wire1 : STD_LOGIC_VECTOR (1 DOWNTO 0); + + + + COMPONENT lpm_compare + GENERIC ( + lpm_hint : STRING; + lpm_representation : STRING; + lpm_type : STRING; + lpm_width : NATURAL + ); + PORT ( + aeb : OUT STD_LOGIC ; + dataa : IN STD_LOGIC_VECTOR (1 DOWNTO 0); + datab : IN STD_LOGIC_VECTOR (1 DOWNTO 0) + ); + END COMPONENT; + +BEGIN + sub_wire1_bv(1 DOWNTO 0) <= "00"; + sub_wire1 <= To_stdlogicvector(sub_wire1_bv); + aeb <= sub_wire0; + + LPM_COMPARE_component : LPM_COMPARE + GENERIC MAP ( + lpm_hint => "ONE_INPUT_IS_CONSTANT=YES", + lpm_representation => "UNSIGNED", + lpm_type => "LPM_COMPARE", + lpm_width => 2 + ) + PORT MAP ( + dataa => dataa, + datab => sub_wire1, + aeb => sub_wire0 + ); + + + +END SYN; + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: AeqB NUMERIC "1" +-- Retrieval info: PRIVATE: AgeB NUMERIC "0" +-- Retrieval info: PRIVATE: AgtB NUMERIC "0" +-- Retrieval info: PRIVATE: AleB NUMERIC "0" +-- Retrieval info: PRIVATE: AltB NUMERIC "0" +-- Retrieval info: PRIVATE: AneB NUMERIC "0" +-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +-- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0" +-- Retrieval info: PRIVATE: Latency NUMERIC "0" +-- Retrieval info: PRIVATE: PortBValue NUMERIC "0" +-- Retrieval info: PRIVATE: Radix NUMERIC "2" +-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +-- Retrieval info: PRIVATE: SignedCompare NUMERIC "0" +-- Retrieval info: PRIVATE: aclr NUMERIC "0" +-- Retrieval info: PRIVATE: clken NUMERIC "0" +-- Retrieval info: PRIVATE: isPortBConstant NUMERIC "1" +-- Retrieval info: PRIVATE: nBit NUMERIC "2" +-- Retrieval info: PRIVATE: new_diagram STRING "1" +-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all +-- Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=YES" +-- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COMPARE" +-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "2" +-- Retrieval info: USED_PORT: aeb 0 0 0 0 OUTPUT NODEFVAL "aeb" +-- Retrieval info: USED_PORT: dataa 0 0 2 0 INPUT NODEFVAL "dataa[1..0]" +-- Retrieval info: CONNECT: @dataa 0 0 2 0 dataa 0 0 2 0 +-- Retrieval info: CONNECT: @datab 0 0 2 0 0 0 0 2 0 +-- Retrieval info: CONNECT: aeb 0 0 0 0 @aeb 0 0 0 0 +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare_00.vhd TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare_00.inc FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare_00.cmp TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare_00.bsf TRUE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare_00_inst.vhd FALSE +-- Retrieval info: LIB_FILE: lpm diff --git a/FPGA/commande/lpm_constant_00.bsf b/FPGA/commande/lpm_constant_00.bsf new file mode 100644 index 0000000..b7ccb2b --- /dev/null +++ b/FPGA/commande/lpm_constant_00.bsf @@ -0,0 +1,49 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.2")) +(symbol + (rect 0 0 112 48) + (text "lpm_constant_00" (rect 7 0 127 16)(font "Arial" (font_size 10))) + (text "inst" (rect 8 33 25 44)(font "Arial" )) + (port + (pt 112 24) + (output) + (text "result[1..0]" (rect 0 0 58 13)(font "Arial" (font_size 8))) + (text "0" (rect 87 18 92 30)(font "Arial" (font_size 8))) + (line (pt 112 24)(pt 96 24)(line_width 3)) + ) + (drawing + (text "2" (rect 99 27 202 64)(font "Arial" )) + (line (pt 106 20)(pt 98 28)) + (line (pt 16 16)(pt 16 32)) + (line (pt 16 16)(pt 96 16)) + (line (pt 16 32)(pt 96 32)) + (line (pt 96 16)(pt 96 32)) + (line (pt 0 0)(pt 114 0)) + (line (pt 114 0)(pt 114 50)) + (line (pt 0 50)(pt 114 50)) + (line (pt 0 0)(pt 0 50)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) diff --git a/FPGA/commande/lpm_constant_00.cmp b/FPGA/commande/lpm_constant_00.cmp new file mode 100644 index 0000000..90f279f --- /dev/null +++ b/FPGA/commande/lpm_constant_00.cmp @@ -0,0 +1,21 @@ +--Copyright (C) 1991-2013 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +component lpm_constant_00 + PORT + ( + result : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) + ); +end component; diff --git a/FPGA/commande/lpm_constant_00.qip b/FPGA/commande/lpm_constant_00.qip new file mode 100644 index 0000000..253112d --- /dev/null +++ b/FPGA/commande/lpm_constant_00.qip @@ -0,0 +1,5 @@ +set_global_assignment -name IP_TOOL_NAME "LPM_CONSTANT" +set_global_assignment -name IP_TOOL_VERSION "13.0" +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "lpm_constant_00.vhd"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_constant_00.bsf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_constant_00.cmp"] diff --git a/FPGA/commande/lpm_constant_00.vhd b/FPGA/commande/lpm_constant_00.vhd new file mode 100644 index 0000000..c524706 --- /dev/null +++ b/FPGA/commande/lpm_constant_00.vhd @@ -0,0 +1,109 @@ +-- megafunction wizard: %LPM_CONSTANT% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: LPM_CONSTANT + +-- ============================================================ +-- File Name: lpm_constant_00.vhd +-- Megafunction Name(s): +-- LPM_CONSTANT +-- +-- Simulation Library Files(s): +-- lpm +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +-- ************************************************************ + + +--Copyright (C) 1991-2013 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +LIBRARY lpm; +USE lpm.all; + +ENTITY lpm_constant_00 IS + PORT + ( + result : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) + ); +END lpm_constant_00; + + +ARCHITECTURE SYN OF lpm_constant_00 IS + + SIGNAL sub_wire0 : STD_LOGIC_VECTOR (1 DOWNTO 0); + + + + COMPONENT lpm_constant + GENERIC ( + lpm_cvalue : NATURAL; + lpm_hint : STRING; + lpm_type : STRING; + lpm_width : NATURAL + ); + PORT ( + result : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) + ); + END COMPONENT; + +BEGIN + result <= sub_wire0(1 DOWNTO 0); + + LPM_CONSTANT_component : LPM_CONSTANT + GENERIC MAP ( + lpm_cvalue => 0, + lpm_hint => "ENABLE_RUNTIME_MOD=NO", + lpm_type => "LPM_CONSTANT", + lpm_width => 2 + ) + PORT MAP ( + result => sub_wire0 + ); + + + +END SYN; + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +-- Retrieval info: PRIVATE: Radix NUMERIC "2" +-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +-- Retrieval info: PRIVATE: Value NUMERIC "0" +-- Retrieval info: PRIVATE: nBit NUMERIC "2" +-- Retrieval info: PRIVATE: new_diagram STRING "1" +-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all +-- Retrieval info: CONSTANT: LPM_CVALUE NUMERIC "0" +-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_CONSTANT" +-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "2" +-- Retrieval info: USED_PORT: result 0 0 2 0 OUTPUT NODEFVAL "result[1..0]" +-- Retrieval info: CONNECT: result 0 0 2 0 @result 0 0 2 0 +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_00.vhd TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_00.inc FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_00.cmp TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_00.bsf TRUE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_00_inst.vhd FALSE +-- Retrieval info: LIB_FILE: lpm diff --git a/FPGA/commande/lpm_constant_11.bsf b/FPGA/commande/lpm_constant_11.bsf new file mode 100644 index 0000000..4fd34f4 --- /dev/null +++ b/FPGA/commande/lpm_constant_11.bsf @@ -0,0 +1,49 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.2")) +(symbol + (rect 0 0 112 48) + (text "lpm_constant_11" (rect 7 0 126 16)(font "Arial" (font_size 10))) + (text "inst" (rect 8 33 25 44)(font "Arial" )) + (port + (pt 112 24) + (output) + (text "result[1..0]" (rect 0 0 58 13)(font "Arial" (font_size 8))) + (text "3" (rect 87 18 92 30)(font "Arial" (font_size 8))) + (line (pt 112 24)(pt 96 24)(line_width 3)) + ) + (drawing + (text "2" (rect 99 27 202 64)(font "Arial" )) + (line (pt 106 20)(pt 98 28)) + (line (pt 16 16)(pt 16 32)) + (line (pt 16 16)(pt 96 16)) + (line (pt 16 32)(pt 96 32)) + (line (pt 96 16)(pt 96 32)) + (line (pt 0 0)(pt 114 0)) + (line (pt 114 0)(pt 114 50)) + (line (pt 0 50)(pt 114 50)) + (line (pt 0 0)(pt 0 50)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) diff --git a/FPGA/commande/lpm_constant_11.cmp b/FPGA/commande/lpm_constant_11.cmp new file mode 100644 index 0000000..e71bdd6 --- /dev/null +++ b/FPGA/commande/lpm_constant_11.cmp @@ -0,0 +1,21 @@ +--Copyright (C) 1991-2013 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +component lpm_constant_11 + PORT + ( + result : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) + ); +end component; diff --git a/FPGA/commande/lpm_constant_11.qip b/FPGA/commande/lpm_constant_11.qip new file mode 100644 index 0000000..2bd9f3c --- /dev/null +++ b/FPGA/commande/lpm_constant_11.qip @@ -0,0 +1,5 @@ +set_global_assignment -name IP_TOOL_NAME "LPM_CONSTANT" +set_global_assignment -name IP_TOOL_VERSION "13.0" +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "lpm_constant_11.vhd"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_constant_11.bsf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_constant_11.cmp"] diff --git a/FPGA/commande/lpm_constant_11.vhd b/FPGA/commande/lpm_constant_11.vhd new file mode 100644 index 0000000..ada78ff --- /dev/null +++ b/FPGA/commande/lpm_constant_11.vhd @@ -0,0 +1,109 @@ +-- megafunction wizard: %LPM_CONSTANT% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: LPM_CONSTANT + +-- ============================================================ +-- File Name: lpm_constant_11.vhd +-- Megafunction Name(s): +-- LPM_CONSTANT +-- +-- Simulation Library Files(s): +-- lpm +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +-- ************************************************************ + + +--Copyright (C) 1991-2013 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +LIBRARY lpm; +USE lpm.all; + +ENTITY lpm_constant_11 IS + PORT + ( + result : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) + ); +END lpm_constant_11; + + +ARCHITECTURE SYN OF lpm_constant_11 IS + + SIGNAL sub_wire0 : STD_LOGIC_VECTOR (1 DOWNTO 0); + + + + COMPONENT lpm_constant + GENERIC ( + lpm_cvalue : NATURAL; + lpm_hint : STRING; + lpm_type : STRING; + lpm_width : NATURAL + ); + PORT ( + result : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) + ); + END COMPONENT; + +BEGIN + result <= sub_wire0(1 DOWNTO 0); + + LPM_CONSTANT_component : LPM_CONSTANT + GENERIC MAP ( + lpm_cvalue => 3, + lpm_hint => "ENABLE_RUNTIME_MOD=NO", + lpm_type => "LPM_CONSTANT", + lpm_width => 2 + ) + PORT MAP ( + result => sub_wire0 + ); + + + +END SYN; + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +-- Retrieval info: PRIVATE: Radix NUMERIC "2" +-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +-- Retrieval info: PRIVATE: Value NUMERIC "3" +-- Retrieval info: PRIVATE: nBit NUMERIC "2" +-- Retrieval info: PRIVATE: new_diagram STRING "1" +-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all +-- Retrieval info: CONSTANT: LPM_CVALUE NUMERIC "3" +-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_CONSTANT" +-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "2" +-- Retrieval info: USED_PORT: result 0 0 2 0 OUTPUT NODEFVAL "result[1..0]" +-- Retrieval info: CONNECT: result 0 0 2 0 @result 0 0 2 0 +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_11.vhd TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_11.inc FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_11.cmp TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_11.bsf TRUE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_11_inst.vhd FALSE +-- Retrieval info: LIB_FILE: lpm diff --git a/FPGA/display/display.bdf b/FPGA/display/display.bdf index 5c1b787..ae0bb30 100644 --- a/FPGA/display/display.bdf +++ b/FPGA/display/display.bdf @@ -34,6 +34,7 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 48 96 64)) ) (pin (input) @@ -50,6 +51,7 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 64 96 80)) ) (pin (input) @@ -66,10 +68,11 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 80 96 96)) ) (pin (input) - (rect 104 8 280 24) + (rect 96 8 272 24) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "clk" (rect 9 0 23 11)(font "Arial" )) (pt 176 8) @@ -85,7 +88,7 @@ applicable agreement for further details. ) (pin (input) - (rect 104 24 280 40) + (rect 96 24 272 40) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "resetn" (rect 9 0 39 11)(font "Arial" )) (pt 176 8) @@ -101,7 +104,7 @@ applicable agreement for further details. ) (pin (output) - (rect 760 48 936 64) + (rect 96 112 272 128) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex7[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) @@ -114,10 +117,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) + (annotation_block (location)(rect 272 112 328 128)) ) (pin (output) - (rect 760 64 936 80) + (rect 96 128 272 144) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex6[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) @@ -130,10 +134,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) + (annotation_block (location)(rect 272 128 328 144)) ) (pin (output) - (rect 760 104 936 120) + (rect 96 168 272 184) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex4[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) @@ -146,10 +151,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) + (annotation_block (location)(rect 272 168 328 184)) ) (pin (output) - (rect 760 88 936 104) + (rect 96 152 272 168) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex5[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) @@ -162,10 +168,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) + (annotation_block (location)(rect 272 152 328 168)) ) (pin (output) - (rect 760 176 936 192) + (rect 96 240 272 256) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex0[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) @@ -178,10 +185,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) + (annotation_block (location)(rect 272 240 336 256)) ) (pin (output) - (rect 760 160 936 176) + (rect 96 224 272 240) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex1[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) @@ -194,10 +202,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) + (annotation_block (location)(rect 272 224 328 240)) ) (pin (output) - (rect 760 144 936 160) + (rect 96 208 272 224) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex2[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) @@ -210,10 +219,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) + (annotation_block (location)(rect 272 208 336 224)) ) (pin (output) - (rect 760 128 936 144) + (rect 96 192 272 208) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex3[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) @@ -226,26 +236,10 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) + (annotation_block (location)(rect 272 192 328 208)) ) (symbol - (rect 216 544 248 576) - (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) - (text "inst1" (rect 3 21 26 32)(font "Arial" )(invisible)) - (port - (pt 16 0) - (output) - (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) - (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) - (line (pt 16 8)(pt 16 0)) - ) - (drawing - (line (pt 8 8)(pt 16 16)) - (line (pt 16 16)(pt 24 8)) - (line (pt 8 8)(pt 24 8)) - ) -) -(symbol - (rect 392 496 568 576) + (rect 520 488 696 568) (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" )) (text "fan_speed" (rect 8 64 59 75)(font "Arial" )) (port @@ -259,35 +253,7 @@ applicable agreement for further details. (pt 176 32) (output) (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) - (text "hex[6..0]" (rect 120 27 155 38)(font "Arial" )) - (line (pt 176 32)(pt 160 32)(line_width 3)) - ) - (parameter - "active_low" - "true" - "" - (type "PARAMETER_ENUM") ) - (drawing - (rectangle (rect 16 16 160 64)) - ) - (annotation_block (parameter)(rect 568 464 728 496)) -) -(symbol - (rect 392 624 568 704) - (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" )) - (text "a" (rect 8 64 15 75)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" )) - (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" )) - (line (pt 0 32)(pt 16 32)(line_width 3)) - ) - (port - (pt 176 32) - (output) - (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) - (text "hex[6..0]" (rect 120 27 155 38)(font "Arial" )) + (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) (line (pt 176 32)(pt 160 32)(line_width 3)) ) (parameter @@ -298,38 +264,10 @@ applicable agreement for further details. (drawing (rectangle (rect 16 16 160 64)) ) - (annotation_block (parameter)(rect 568 592 728 624)) + (annotation_block (parameter)(rect 536 456 696 488)) ) (symbol - (rect 392 752 568 832) - (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" )) - (text "f" (rect 8 64 11 75)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" )) - (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" )) - (line (pt 0 32)(pt 16 32)(line_width 3)) - ) - (port - (pt 176 32) - (output) - (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) - (text "hex[6..0]" (rect 120 27 155 38)(font "Arial" )) - (line (pt 176 32)(pt 160 32)(line_width 3)) - ) - (parameter - "active_low" - "true" - "" - (type "PARAMETER_ENUM") ) - (drawing - (rectangle (rect 16 16 160 64)) - ) - (annotation_block (parameter)(rect 568 720 728 752)) -) -(symbol - (rect 424 320 536 408) + (rect 584 -160 696 -72) (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) (text "inst10" (rect 3 77 37 90)(font "Arial" (font_size 8))) (port @@ -357,7 +295,7 @@ applicable agreement for further details. (pt 112 48) (output) (text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8))) - (text "result[]" (rect 75 35 106 48)(font "Arial" (font_size 8))) + (text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter @@ -374,10 +312,10 @@ applicable agreement for further details. (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) - (annotation_block (parameter)(rect 536 288 656 314)) + (annotation_block (parameter)(rect 576 -192 696 -166)) ) (symbol - (rect 424 152 536 240) + (rect 584 176 696 264) (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) (text "inst9" (rect 3 77 30 90)(font "Arial" (font_size 8))) (port @@ -405,7 +343,7 @@ applicable agreement for further details. (pt 112 48) (output) (text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8))) - (text "result[]" (rect 75 35 106 48)(font "Arial" (font_size 8))) + (text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter @@ -422,17 +360,67 @@ applicable agreement for further details. (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) - (annotation_block (parameter)(rect 536 120 656 146)) + (annotation_block (parameter)(rect 576 144 696 170)) ) (symbol - (rect 264 760 376 808) - (text "lpm_constant_f" (rect 12 0 120 16)(font "Arial" (font_size 10))) - (text "inst21" (rect 8 33 37 44)(font "Arial" )) + (rect 904 408 1048 536) + (text "useless" (rect 5 0 48 13)(font "Arial" (font_size 8))) + (text "inst2" (rect 8 113 31 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8))) + (text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8))) + (text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 144 32) + (output) + (text "hex3[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) + (text "hex3[6..0]" (rect 67 27 122 40)(font "Arial" (font_size 8))) + (line (pt 144 32)(pt 128 32)(line_width 3)) + ) + (port + (pt 144 48) + (output) + (text "hex2[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) + (text "hex2[6..0]" (rect 67 43 122 56)(font "Arial" (font_size 8))) + (line (pt 144 48)(pt 128 48)(line_width 3)) + ) + (port + (pt 144 64) + (output) + (text "hex1[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) + (text "hex1[6..0]" (rect 67 59 122 72)(font "Arial" (font_size 8))) + (line (pt 144 64)(pt 128 64)(line_width 3)) + ) + (port + (pt 144 80) + (output) + (text "hex0[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) + (text "hex0[6..0]" (rect 67 75 122 88)(font "Arial" (font_size 8))) + (line (pt 144 80)(pt 128 80)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 128 112)) + ) +) +(symbol + (rect 424 -280 536 -232) + (text "lpm_constant_a" (rect 10 0 120 16)(font "Arial" (font_size 10))) + (text "const_a" (rect 8 33 46 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[3..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) - (text "15" (rect 81 18 95 31)(font "Arial" (font_size 8))) + (text "10" (rect 81 18 95 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing @@ -453,18 +441,18 @@ applicable agreement for further details. ) ) (symbol - (rect 424 48 536 96) - (text "lpm_constant_1" (rect 10 0 120 16)(font "Arial" (font_size 10))) - (text "inst" (rect 8 33 25 44)(font "Arial" )) + (rect 424 56 536 104) + (text "lpm_constant_f" (rect 12 0 120 16)(font "Arial" (font_size 10))) + (text "const_f" (rect 8 33 44 44)(font "Arial" )) (port (pt 112 24) (output) - (text "result[6..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) - (text "127" (rect 75 18 96 31)(font "Arial" (font_size 8))) + (text "result[3..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) + (text "15" (rect 81 18 95 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing - (text "7" (rect 99 27 106 38)(font "Arial" )) + (text "4" (rect 99 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) @@ -481,9 +469,9 @@ applicable agreement for further details. ) ) (symbol - (rect 688 -112 800 -64) - (text "lpm_constant7nada" (rect 1 0 139 16)(font "Arial" (font_size 10))) - (text "inst3" (rect 8 33 31 44)(font "Arial" )) + (rect 96 280 208 328) + (text "lpm_constant_7x1" (rect 3 0 131 16)(font "Arial" (font_size 10))) + (text "const_1" (rect 8 33 46 44)(font "Arial" )) (port (pt 112 24) (output) @@ -509,68 +497,74 @@ applicable agreement for further details. ) ) (symbol - (rect 392 -136 536 -8) - (text "useless" (rect 5 0 48 13)(font "Arial" (font_size 8))) - (text "inst2" (rect 8 113 31 124)(font "Arial" )) + (rect 552 -288 728 -208) + (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" )) + (text "dec_a" (rect 8 64 38 75)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8))) - (text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8))) - (line (pt 0 32)(pt 16 32)) - ) - (port - (pt 0 48) - (input) - (text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8))) - (text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8))) - (line (pt 0 48)(pt 16 48)) + (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" )) + (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port - (pt 144 32) + (pt 176 32) (output) - (text "hex3[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) - (text "hex3[6..0]" (rect 67 27 122 40)(font "Arial" (font_size 8))) - (line (pt 144 32)(pt 128 32)(line_width 3)) + (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) + (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) + (line (pt 176 32)(pt 160 32)(line_width 3)) ) - (port - (pt 144 48) - (outp