From ea5b86dbf48bb60f706f4d73f446900b5aa86536 Mon Sep 17 00:00:00 2001 From: Pacien TRAN-GIRARD Date: Mon, 16 Jun 2014 19:22:07 +0200 Subject: Update project --- FPGA/codec_clock/codec_clock.bdf | 80 +++++---- FPGA/codec_clock/codec_clock.qws | Bin 905 -> 905 bytes FPGA/commande/commande.qws | Bin 881 -> 1702 bytes FPGA/sound_gene/sound_gene.bdf | 346 +++++++++++++++++++-------------------- FPGA/sound_gene/sound_gene.qsf | 3 +- FPGA/sound_gene/sound_gene.qws | Bin 2280 -> 897 bytes FPGA/top/top.qws | Bin 1623 -> 2547 bytes 7 files changed, 220 insertions(+), 209 deletions(-) diff --git a/FPGA/codec_clock/codec_clock.bdf b/FPGA/codec_clock/codec_clock.bdf index bd608e1..8922e56 100644 --- a/FPGA/codec_clock/codec_clock.bdf +++ b/FPGA/codec_clock/codec_clock.bdf @@ -22,8 +22,8 @@ applicable agreement for further details. (pin (input) (rect 64 96 232 112) - (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) - (text "clk" (rect 5 0 19 11)(font "Arial" )) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "clk" (rect 5 0 20 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -33,14 +33,14 @@ applicable agreement for further details. (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) - (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 8 112 64 128)) ) (pin (input) (rect 64 112 232 128) - (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) - (text "resetn" (rect 5 0 35 11)(font "Arial" )) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "resetn" (rect 5 0 36 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -50,14 +50,14 @@ applicable agreement for further details. (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) - (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 0 128 64 144)) ) (pin (output) - (rect 512 96 688 112) - (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "xti_mclk_a" (rect 90 0 141 11)(font "Arial" )) + (rect 64 144 240 160) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "xti_mclk_a" (rect 90 0 143 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -68,13 +68,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 688 112 752 128)) + (annotation_block (location)(rect 200 184 264 200)) ) (pin (output) - (rect 512 240 688 256) - (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "xti_mclk_b" (rect 90 0 141 11)(font "Arial" )) + (rect 64 160 240 176) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "xti_mclk_b" (rect 90 0 143 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -85,31 +85,31 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 720 296 784 312)) + (annotation_block (location)(rect 248 296 312 312)) ) (symbol (rect 352 72 512 152) - (text "clock_divider" (rect 5 0 69 11)(font "Arial" )) - (text "inst" (rect 8 64 25 75)(font "Arial" )) + (text "clock_divider" (rect 5 0 71 11)(font "Arial" )) + (text "inst" (rect 8 64 26 75)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 14 11)(font "Arial" )) - (text "clk" (rect 21 27 35 38)(font "Arial" )) + (text "clk" (rect 0 0 15 11)(font "Arial" )) + (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 30 11)(font "Arial" )) - (text "resetn" (rect 21 43 51 54)(font "Arial" )) + (text "resetn" (rect 0 0 31 11)(font "Arial" )) + (text "resetn" (rect 21 43 52 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 160 32) (output) - (text "en_user" (rect 0 0 41 11)(font "Arial" )) - (text "en_user" (rect 104 27 145 38)(font "Arial" )) + (text "en_user" (rect 0 0 42 11)(font "Arial" )) + (text "en_user" (rect 104 27 146 38)(font "Arial" )) (line (pt 160 32)(pt 144 32)) ) (parameter @@ -125,31 +125,31 @@ applicable agreement for further details. (drawing (rectangle (rect 16 16 144 64)) ) - (annotation_block (parameter)(rect 512 32 697 71)) + (annotation_block (parameter)(rect 328 32 513 71)) ) (symbol (rect 352 216 512 296) - (text "clock_divider" (rect 5 0 69 11)(font "Arial" )) + (text "clock_divider" (rect 5 0 71 11)(font "Arial" )) (text "inst1" (rect 8 64 31 75)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 14 11)(font "Arial" )) - (text "clk" (rect 21 27 35 38)(font "Arial" )) + (text "clk" (rect 0 0 15 11)(font "Arial" )) + (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 30 11)(font "Arial" )) - (text "resetn" (rect 21 43 51 54)(font "Arial" )) + (text "resetn" (rect 0 0 31 11)(font "Arial" )) + (text "resetn" (rect 21 43 52 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 160 32) (output) - (text "en_user" (rect 0 0 41 11)(font "Arial" )) - (text "en_user" (rect 104 27 145 38)(font "Arial" )) + (text "en_user" (rect 0 0 42 11)(font "Arial" )) + (text "en_user" (rect 104 27 146 38)(font "Arial" )) (line (pt 160 32)(pt 144 32)) ) (parameter @@ -165,25 +165,35 @@ applicable agreement for further details. (drawing (rectangle (rect 16 16 144 64)) ) - (annotation_block (parameter)(rect 512 176 694 215)) + (annotation_block (parameter)(rect 328 176 510 215)) ) (connector - (text "resetn" (rect 304 104 334 115)(font "Arial" )) + (text "resetn" (rect 304 104 335 115)(font "Arial" )) (pt 352 120) (pt 296 120) ) (connector - (text "clk" (rect 304 88 318 99)(font "Arial" )) + (text "clk" (rect 304 88 319 99)(font "Arial" )) (pt 352 104) (pt 296 104) ) (connector - (text "resetn" (rect 304 248 334 259)(font "Arial" )) + (text "resetn" (rect 304 248 335 259)(font "Arial" )) (pt 352 264) (pt 296 264) ) (connector - (text "clk" (rect 304 232 318 243)(font "Arial" )) + (text "clk" (rect 304 232 319 243)(font "Arial" )) (pt 352 248) (pt 296 248) ) +(connector + (text "xti_mclk_a" (rect 523 88 576 99)(font "Arial" )) + (pt 512 104) + (pt 528 104) +) +(connector + (text "xti_mclk_b" (rect 523 232 576 243)(font "Arial" )) + (pt 512 248) + (pt 528 248) +) diff --git a/FPGA/codec_clock/codec_clock.qws b/FPGA/codec_clock/codec_clock.qws index ab7d437..9404844 100644 Binary files a/FPGA/codec_clock/codec_clock.qws and b/FPGA/codec_clock/codec_clock.qws differ diff --git a/FPGA/commande/commande.qws b/FPGA/commande/commande.qws index e4cc1ba..cff6109 100644 Binary files a/FPGA/commande/commande.qws and b/FPGA/commande/commande.qws differ diff --git a/FPGA/sound_gene/sound_gene.bdf b/FPGA/sound_gene/sound_gene.bdf index eac9c42..48effbf 100644 --- a/FPGA/sound_gene/sound_gene.bdf +++ b/FPGA/sound_gene/sound_gene.bdf @@ -22,8 +22,8 @@ applicable agreement for further details. (pin (input) (rect 88 56 264 72) - (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) - (text "clk" (rect 9 0 23 11)(font "Arial" )) + (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 24 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -33,14 +33,14 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 32 72 88 88)) + (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 56 88 72)) ) (pin (input) (rect 88 72 264 88) - (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) - (text "resetn" (rect 9 0 39 11)(font "Arial" )) + (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) + (text "resetn" (rect 9 0 40 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -50,14 +50,14 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 24 88 88 104)) + (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 24 72 88 88)) ) (pin (input) (rect 88 88 264 104) - (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) - (text "alarm" (rect 9 0 37 11)(font "Arial" )) + (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) + (text "alarm" (rect 9 0 38 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -67,14 +67,14 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 24 104 88 120)) + (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 24 88 88 104)) ) (pin (output) (rect 88 104 264 120) - (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "end_config" (rect 90 0 144 11)(font "Arial" )) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "end_config" (rect 90 0 145 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -85,13 +85,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 120 328 136)) + (annotation_block (location)(rect 264 104 328 120)) ) (pin (output) (rect 88 136 264 152) - (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "i2c_sclk" (rect 90 0 130 11)(font "Arial" )) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "i2c_sclk" (rect 90 0 131 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -102,13 +102,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 152 320 168)) + (annotation_block (location)(rect 264 136 320 152)) ) (pin (output) (rect 88 152 264 168) - (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "aud_bclk" (rect 90 0 134 11)(font "Arial" )) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "aud_bclk" (rect 90 0 136 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -119,13 +119,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 168 320 184)) + (annotation_block (location)(rect 264 152 320 168)) ) (pin (output) (rect 88 168 264 184) - (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "aud_dacdat" (rect 90 0 147 11)(font "Arial" )) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "aud_dacdat" (rect 90 0 149 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -136,13 +136,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 184 320 200)) + (annotation_block (location)(rect 264 168 320 184)) ) (pin (output) (rect 88 184 264 200) - (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "aud_daclrck" (rect 90 0 150 11)(font "Arial" )) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "aud_daclrck" (rect 90 0 151 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -153,13 +153,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 200 320 216)) + (annotation_block (location)(rect 264 184 320 200)) ) (pin (output) (rect 88 200 264 216) - (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "aud_xck" (rect 90 0 131 11)(font "Arial" )) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "aud_xck" (rect 90 0 132 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -170,13 +170,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 216 320 232)) + (annotation_block (location)(rect 264 200 320 216)) ) (pin (output) (rect 88 216 264 232) - (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "xti_mclk" (rect 90 0 130 11)(font "Arial" )) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "xti_mclk" (rect 90 0 131 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -187,13 +187,13 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 264 232 328 248)) + (annotation_block (location)(rect 264 216 328 232)) ) (pin (output) (rect 88 232 264 248) - (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) - (text "end_tempo" (rect 90 0 145 11)(font "Arial" )) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "end_tempo" (rect 90 0 146 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -208,8 +208,8 @@ applicable agreement for further details. (pin (bidir) (rect 88 120 264 136) - (text "BIDIR" (rect 1 0 26 10)(font "Arial" (font_size 6))) - (text "i2c_sdat" (rect 90 0 131 11)(font "Arial" )) + (text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6))) + (text "i2c_sdat" (rect 90 0 132 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) @@ -220,32 +220,32 @@ applicable agreement for further details. (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) - (text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 264 136 320 152)) + (text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 264 120 320 136)) ) (symbol (rect 544 664 752 776) - (text "dds_sinus" (rect 5 0 54 11)(font "Arial" )) - (text "inst2" (rect 8 96 31 107)(font "Arial" )) + (text "dds_sinus" (rect 5 0 55 11)(font "Arial" )) + (text "inst2" (rect 8 96 32 107)(font "Arial" )) (port (pt 0 32) (input) - (text "resetn" (rect 0 0 30 11)(font "Arial" )) - (text "resetn" (rect 21 27 51 38)(font "Arial" )) + (text "resetn" (rect 0 0 31 11)(font "Arial" )) + (text "resetn" (rect 21 27 52 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "clk" (rect 0 0 14 11)(font "Arial" )) - (text "clk" (rect 21 43 35 54)(font "Arial" )) + (text "clk" (rect 0 0 15 11)(font "Arial" )) + (text "clk" (rect 21 43 36 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) - (text "en" (rect 0 0 12 11)(font "Arial" )) - (text "en" (rect 21 59 33 70)(font "Arial" )) + (text "en" (rect 0 0 14 11)(font "Arial" )) + (text "en" (rect 21 59 35 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port @@ -272,27 +272,27 @@ applicable agreement for further details. ) (symbol (rect 512 216 768 360) - (text "codec_dac" (rect 5 0 59 11)(font "Arial" )) - (text "inst3" (rect 8 128 31 139)(font "Arial" )) + (text "codec_dac" (rect 5 0 60 11)(font "Arial" )) + (text "inst3" (rect 8 128 32 139)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 14 11)(font "Arial" )) - (text "clk" (rect 21 27 35 38)(font "Arial" )) + (text "clk" (rect 0 0 15 11)(font "Arial" )) + (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 30 11)(font "Arial" )) - (text "resetn" (rect 21 43 51 54)(font "Arial" )) + (text "resetn" (rect 0 0 31 11)(font "Arial" )) + (text "resetn" (rect 21 43 52 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) - (text "go" (rect 0 0 12 11)(font "Arial" )) - (text "go" (rect 21 59 33 70)(font "Arial" )) + (text "go" (rect 0 0 14 11)(font "Arial" )) + (text "go" (rect 21 59 35 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port @@ -305,36 +305,36 @@ applicable agreement for further details. (port (pt 256 32) (output) - (text "tempo_dac" (rect 0 0 55 11)(font "Arial" )) - (text "tempo_dac" (rect 189 27 244 38)(font "Arial" )) + (text "tempo_dac" (rect 0 0 56 11)(font "Arial" )) + (text "tempo_dac" (rect 189 27 245 38)(font "Arial" )) (line (pt 256 32)(pt 240 32)) ) (port (pt 256 48) (output) - (text "end_dac" (rect 0 0 42 11)(font "Arial" )) - (text "end_dac" (rect 200 43 242 54)(font "Arial" )) + (text "end_dac" (rect 0 0 43 11)(font "Arial" )) + (text "end_dac" (rect 200 43 243 54)(font "Arial" )) (line (pt 256 48)(pt 240 48)) ) (port (pt 256 64) (output) - (text "codec_dac_bclk" (rect 0 0 79 11)(font "Arial" )) - (text "codec_dac_bclk" (rect 169 59 248 70)(font "Arial" )) + (text "codec_dac_bclk" (rect 0 0 80 11)(font "Arial" )) + (text "codec_dac_bclk" (rect 169 59 249 70)(font "Arial" )) (line (pt 256 64)(pt 240 64)) ) (port (pt 256 80) (output) - (text "codec_dac_data" (rect 0 0 81 11)(font "Arial" )) - (text "codec_dac_data" (rect 167 75 248 86)(font "Arial" )) + (text "codec_dac_data" (rect 0 0 82 11)(font "Arial" )) + (text "codec_dac_data" (rect 167 75 249 86)(font "Arial" )) (line (pt 256 80)(pt 240 80)) ) (port (pt 256 96) (output) - (text "codec_dac_lrck" (rect 0 0 76 11)(font "Arial" )) - (text "codec_dac_lrck" (rect 171 91 247 102)(font "Arial" )) + (text "codec_dac_lrck" (rect 0 0 77 11)(font "Arial" )) + (text "codec_dac_lrck" (rect 171 91 248 102)(font "Arial" )) (line (pt 256 96)(pt 240 96)) ) (parameter @@ -364,41 +364,41 @@ applicable agreement for further details. ) (symbol (rect 560 456 728 568) - (text "codec_config" (rect 5 0 69 11)(font "Arial" )) - (text "inst4" (rect 8 96 31 107)(font "Arial" )) + (text "codec_config" (rect 5 0 71 11)(font "Arial" )) + (text "inst4" (rect 8 96 32 107)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 14 11)(font "Arial" )) - (text "clk" (rect 21 27 35 38)(font "Arial" )) + (text "clk" (rect 0 0 15 11)(font "Arial" )) + (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 30 11)(font "Arial" )) - (text "resetn" (rect 21 43 51 54)(font "Arial" )) + (text "resetn" (rect 0 0 31 11)(font "Arial" )) + (text "resetn" (rect 21 43 52 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 168 32) (output) - (text "end_config" (rect 0 0 54 11)(font "Arial" )) - (text "end_config" (rect 102 27 156 38)(font "Arial" )) + (text "end_config" (rect 0 0 55 11)(font "Arial" )) + (text "end_config" (rect 102 27 157 38)(font "Arial" )) (line (pt 168 32)(pt 152 32)) ) (port (pt 168 48) (output) - (text "i2c_scl" (rect 0 0 34 11)(font "Arial" )) - (text "i2c_scl" (rect 119 43 153 54)(font "Arial" )) + (text "i2c_scl" (rect 0 0 35 11)(font "Arial" )) + (text "i2c_scl" (rect 119 43 154 54)(font "Arial" )) (line (pt 168 48)(pt 152 48)) ) (port (pt 168 64) (bidir) - (text "i2c_sda" (rect 0 0 38 11)(font "Arial" )) - (text "i2c_sda" (rect 117 59 155 70)(font "Arial" )) + (text "i2c_sda" (rect 0 0 40 11)(font "Arial" )) + (text "i2c_sda" (rect 117 59 157 70)(font "Arial" )) (line (pt 168 64)(pt 152 64)) ) (parameter @@ -418,27 +418,27 @@ applicable agreement for further details. ) (symbol (rect 544 -400 704 -320) - (text "clock_divider" (rect 5 0 69 11)(font "Arial" )) - (text "inst9" (rect 8 64 31 75)(font "Arial" )) + (text "clock_divider" (rect 5 0 71 11)(font "Arial" )) + (text "inst9" (rect 8 64 32 75)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 14 11)(font "Arial" )) - (text "clk" (rect 21 27 35 38)(font "Arial" )) + (text "clk" (rect 0 0 15 11)(font "Arial" )) + (text "clk" (rect 21 27 36 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 30 11)(font "Arial" )) - (text "resetn" (rect 21 43 51 54)(font "Arial" )) + (text "resetn" (rect 0 0 31 11)(font "Arial" )) + (text "resetn" (rect 21 43 52 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 160 32) (output) - (text "en_user" (rect 0 0 41 11)(font "Arial" )) - (text "en_user" (rect 105 27 146 38)(font "Arial" )) + (text "en_user" (rect 0 0 42 11)(font "Arial" )) + (text "en_user" (rect 105 27 147 38)(font "Arial" )) (line (pt 160 32)(pt 144 32)) ) (parameter @@ -458,20 +458,20 @@ applicable agreement for further details. ) (symbol (rect 616 160 664 192) - (text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6))) - (text "inst5" (rect 3 21 26 32)(font "Arial" )) + (text "WIRE" (rect 1 0 26 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 21 27 32)(font "Arial" )) (port (pt 0 16) (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 48 16) (output) - (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 48 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 32 16)(pt 48 16)) ) (drawing @@ -482,7 +482,7 @@ applicable agreement for further details. ) (symbol (rect 608 584 672 632) - (text "AND2" (rect 1 0 28 10)(font "Arial" (font_size 6))) + (text "AND2" (rect 1 0 29 10)(font "Arial" (font_size 6))) (text "inst1" (rect 3 37 26 48)(font "Arial" )) (port (pt 0 16) @@ -501,8 +501,8 @@ applicable agreement for further details. (port (pt 64 24) (output) - (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 15 64 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing @@ -514,48 +514,48 @@ applicable agreement for further details. ) (symbol (rect 600 -224 664 -144) - (text "DFFE" (rect 1 0 25 10)(font "Arial" (font_size 6))) - (text "inst10" (rect 3 68 32 79)(font "Arial" )) + (text "DFFE" (rect 1 0 26 10)(font "Arial" (font_size 6))) + (text "inst10" (rect 3 68 33 79)(font "Arial" )) (port (pt 32 0) (input) - (text "PRN" (rect 24 13 44 24)(font "Courier New" (bold))) - (text "PRN" (rect 24 11 44 22)(font "Courier New" (bold))) + (text "PRN" (rect 24 13 45 24)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 45 22)(font "Courier New" (bold))) (line (pt 32 4)(pt 32 0)) ) (port (pt 32 80) (input) - (text "CLRN" (rect 21 59 48 70)(font "Courier New" (bold))) - (text "CLRN" (rect 21 58 48 69)(font "Courier New" (bold))) + (text "CLRN" (rect 21 59 49 70)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 49 69)(font "Courier New" (bold))) (line (pt 32 80)(pt 32 76)) ) (port (pt 0 24) (input) - (text "D" (rect 14 20 21 31)(font "Courier New" (bold))) - (text "D" (rect 14 20 21 31)(font "Courier New" (bold))) + (text "D" (rect 14 20 22 31)(font "Courier New" (bold))) + (text "D" (rect 14 20 22 31)(font "Courier New" (bold))) (line (pt 0 24)(pt 12 24)) ) (port (pt 0 40) (input) - (text "CLK" (rect 2 28 22 39)(font "Courier New" (bold))(invisible)) - (text "CLK" (rect 2 28 22 39)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 2 28 23 39)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 2 28 23 39)(font "Courier New" (bold))(invisible)) (line (pt 0 40)(pt 12 40)) ) (port (pt 0 56) (input) - (text "ENA" (rect 14 50 34 61)(font "Courier New" (bold))) - (text "ENA" (rect 14 50 34 61)(font "Courier New" (bold))) + (text "ENA" (rect 14 50 35 61)(font "Courier New" (bold))) + (text "ENA" (rect 14 50 35 61)(font "Courier New" (bold))) (line (pt 0 56)(pt 12 56)) ) (port (pt 64 24) (output) - (text "Q" (rect 44 20 51 31)(font "Courier New" (bold))) - (text "Q" (rect 43 20 48 31)(font "Courier New" (bold))) + (text "Q" (rect 44 20 52 31)(font "Courier New" (bold))) + (text "Q" (rect 43 20 51 31)(font "Courier New" (bold))) (line (pt 53 24)(pt 64 24)) ) (drawing @@ -571,20 +571,20 @@ applicable agreement for further details. ) (symbol (rect 608 -280 656 -248) - (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) - (text "inst12" (rect 3 21 32 32)(font "Arial" )) + (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) + (text "inst12" (rect 3 21 33 32)(font "Arial" )) (port (pt 0 16) (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) - (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 48 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing @@ -596,34 +596,34 @@ applicable agreement for further details. ) (symbol (rect 584 -32 696 56) - (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) - (text "inst8" (rect 3 77 30 90)(font "Arial" (font_size 8))) + (text "BUSMUX" (rect 28 0 96 16)(font "Arial" (font_size 10))) + (text "inst8" (rect 3 77 31 90)(font "Arial" (font_size 8))) (port (pt 0 64) (input) - (text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8))) - (text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8))) + (text "datab[WIDTH-1..0]" (rect 6 51 112 64)(font "Arial" (font_size 8))) + (text "datab[]" (rect 6 51 46 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) - (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) - (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) + (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) + (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) - (text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8))) - (text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8))) + (text "dataa[WIDTH-1..0]" (rect 6 19 112 32)(font "Arial" (font_size 8))) + (text "dataa[]" (rect 6 19 46 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) - (text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8))) - (text "result[]" (rect 75 35 106 48)(font "Arial" (font_size 8))) + (text "result[WIDTH-1..0]" (rect 75 35 181 48)(font "Arial" (font_size 8))) + (text "result[]" (rect 75 35 113 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter @@ -633,7 +633,7 @@ applicable agreement for further details. " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing - (text "0" (rect 52 31 59 41)(font "Arial" (font_size 6))) + (text "0" (rect 52 31 60 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) @@ -644,34 +644,34 @@ applicable agreement for further details. ) (symbol (rect 544 -568 696 -472) - (text "codec_clock" (rect 5 0 75 13)(font "Arial" (font_size 8))) - (text "inst6" (rect 8 81 31 92)(font "Arial" )) + (text "codec_clock" (rect 5 0 76 13)(font "Arial" (font_size 8))) + (text "inst6" (rect 8 81 32 92)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8))) - (text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8))) + (text "clk" (rect 0 0 18 13)(font "Arial" (font_size 8))) + (text "clk" (rect 21 27 39 40)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8))) - (text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8))) + (text "resetn" (rect 0 0 36 13)(font "Arial" (font_size 8))) + (text "resetn" (rect 21 43 57 56)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 152 32) (output) - (text "xti_mclk_a" (rect 0 0 60 13)(font "Arial" (font_size 8))) - (text "xti_mclk_a" (rect 71 27 131 40)(font "Arial" (font_size 8))) + (text "xti_mclk_a" (rect 0 0 61 13)(font "Arial" (font_size 8))) + (text "xti_mclk_a" (rect 71 27 132 40)(font "Arial" (font_size 8))) (line (pt 152 32)(pt 136 32)) ) (port (pt 152 48) (output) - (text "xti_mclk_b" (rect 0 0 60 13)(font "Arial" (font_size 8))) - (text "xti_mclk_b" (rect 71 43 131 56)(font "Arial" (font_size 8))) + (text "xti_mclk_b" (rect 0 0 61 13)(font "Arial" (font_size 8))) + (text "xti_mclk_b" (rect 71 43 132 56)(font "Arial" (font_size 8))) (line (pt 152 48)(pt 136 48)) ) (drawing @@ -679,67 +679,67 @@ applicable agreement for further details. ) ) (connector - (text "end_config" (rect 440 264 494 275)(font "Arial" )) + (text "end_config" (rect 440 264 495 275)(font "Arial" )) (pt 512 280) (pt 432 280) ) (connector - (text "clk" (rect 440 232 454 243)(font "Arial" )) + (text "clk" (rect 440 232 455 243)(font "Arial" )) (pt 512 248) (pt 432 248) ) (connector - (text "resetn" (rect 440 248 470 259)(font "Arial" )) + (text "resetn" (rect 440 248 471 259)(font "Arial" )) (pt 512 264) (pt 432 264) ) (connector - (text "aud_bclk" (rect 776 264 820 275)(font "Arial" )) + (text "aud_bclk" (rect 776 264 822 275)(font "Arial" )) (pt 768 280) (pt 840 280) ) (connector - (text "aud_dacdat" (rect 776 280 833 291)(font "Arial" )) + (text "aud_dacdat" (rect 776 280 835 291)(font "Arial" )) (pt 768 296) (pt 840 296) ) (connector - (text "aud_daclrck" (rect 776 296 836 307)(font "Arial" )) + (text "aud_daclrck" (rect 776 296 837 307)(font "Arial" )) (pt 768 312) (pt 840 312) ) (connector - (text "end_config" (rect 736 472 790 483)(font "Arial" )) + (text "end_config" (rect 736 472 791 483)(font "Arial" )) (pt 728 488) (pt 816 488) ) (connector - (text "i2c_sclk" (rect 736 488 776 499)(font "Arial" )) + (text "i2c_sclk" (rect 736 488 777 499)(font "Arial" )) (pt 728 504) (pt 816 504) ) (connector - (text "i2c_sdat" (rect 736 504 777 515)(font "Arial" )) + (text "i2c_sdat" (rect 736 504 778 515)(font "Arial" )) (pt 728 520) (pt 816 520) ) (connector - (text "clk" (rect 496 472 510 483)(font "Arial" )) + (text "clk" (rect 496 472 511 483)(font "Arial" )) (pt 488 488) (pt 560 488) ) (connector - (text "resetn" (rect 496 488 526 499)(font "Arial" )) + (text "resetn" (rect 496 488 527 499)(font "Arial" )) (pt 488 504) (pt 560 504) ) (connector - (text "resetn" (rect 480 680 510 691)(font "Arial" )) + (text "resetn" (rect 480 680 511 691)(font "Arial" )) (pt 544 696) (pt 472 696) ) (connector - (text "clk" (rect 480 696 494 707)(font "Arial" )) + (text "clk" (rect 480 696 495 707)(font "Arial" )) (pt 544 712) (pt 472 712) ) @@ -750,37 +750,37 @@ applicable agreement for further details. (bus) ) (connector - (text "xti_mclk" (rect 568 160 608 171)(font "Arial" )) + (text "xti_mclk" (rect 568 160 609 171)(font "Arial" )) (pt 616 176) (pt 560 176) ) (connector - (text "aud_xck" (rect 672 160 713 171)(font "Arial" )) + (text "aud_xck" (rect 672 160 714 171)(font "Arial" )) (pt 664 176) (pt 720 176) ) (connector - (text "end_tempo" (rect 799 232 854 243)(font "Arial" )) + (text "end_tempo" (rect 799 232 855 243)(font "Arial" )) (pt 768 248) (pt 840 248) ) (connector - (text "xti_mclk" (rect 544 600 584 611)(font "Arial" )) + (text "xti_mclk" (rect 544 600 585 611)(font "Arial" )) (pt 608 616) (pt 536 616) ) (connector - (text "alarm" (rect 544 584 572 595)(font "Arial" )) + (text "alarm" (rect 544 584 573 595)(font "Arial" )) (pt 608 600) (pt 536 600) ) (connector - (text "mksin" (rect 680 592 709 603)(font "Arial" )) + (text "mksin" (rect 680 592 710 603)(font "Arial" )) (pt 672 608) (pt 728 608) ) (connector - (text "mksin" (rect 480 712 509 723)(font "Arial" )) + (text "mksin" (rect 480 712 510 723)(font "Arial" )) (pt 544 728) (pt 472 728) ) @@ -791,94 +791,94 @@ applicable agreement for further details. (bus) ) (connector - (text "q" (rect 624 81 635 88)(font "Arial" )(vertical)) + (text "q" (rect 624 81 635 89)(font "Arial" )(vertical)) (pt 640 56) (pt 640 104) ) (connector - (text "xti_mclk_a" (rect 504 -16 555 -5)(font "Arial" )) + (text "xti_mclk_a" (rect 504 -16 557 -5)(font "Arial" )) (pt 496 0) (pt 584 0) (bus) ) (connector - (text "xti_mclk_b" (rect 504 16 555 27)(font "Arial" )) + (text "xti_mclk_b" (rect 504 16 557 27)(font "Arial" )) (pt 496 32) (pt 584 32) (bus) ) (connector - (text "xti_mclk" (rect 704 0 744 11)(font "Arial" )) + (text "xti_mclk" (rect 704 0 745 11)(font "Arial" )) (pt 696 16) (pt 768 16) ) (connector - (text "resetn" (rect 616 -147 627 -117)(font "Arial" )(vertical)) + (text "resetn" (rect 616 -147 627 -116)(font "Arial" )(vertical)) (pt 632 -144) (pt 632 -104) ) (connector - (text "clk" (rect 480 -384 494 -373)(font "Arial" )) + (text "clk" (rect 480 -384 495 -373)(font "Arial" )) (pt 472 -368) (pt 544 -368) ) (connector - (text "resetn" (rect 472 -368 502 -357)(font "Arial" )) + (text "resetn" (rect 472 -368 503 -357)(font "Arial" )) (pt 472 -352) (pt 544 -352) ) (connector - (text "d" (rect 544 -216 551 -205)(font "Arial" )) + (text "d" (rect 544 -216 552 -205)(font "Arial" )) (pt 536 -200) (pt 600 -200) ) (connector - (text "clk" (rect 544 -200 558 -189)(font "Arial" )) + (text "clk" (rect 544 -200 559 -189)(font "Arial" )) (pt 536 -184) (pt 600 -184) ) (connector - (text "d_ena" (rect 544 -184 574 -173)(font "Arial" )) + (text "d_ena" (rect 544 -184 575 -173)(font "Arial" )) (pt 536 -168) (pt 600 -168) ) (connector - (text "q" (rect 560 -280 567 -269)(font "Arial" )) + (text "q" (rect 560 -280 568 -269)(font "Arial" )) (pt 552 -264) (pt 608 -264) ) (connector - (text "clk" (rect 488 -552 502 -541)(font "Arial" )) + (text "clk" (rect 488 -552 503 -541)(font "Arial" )) (pt 480 -536) (pt 544 -536) ) (connector - (text "resetn" (rect 488 -536 518 -525)(font "Arial" )) + (text "resetn" (rect 488 -536 519 -525)(font "Arial" )) (pt 480 -520) (pt 544 -520) ) (connector - (text "d_ena" (rect 712 -384 742 -373)(font "Arial" )) + (text "d_ena" (rect 712 -384 743 -373)(font "Arial" )) (pt 704 -368) (pt 768 -368) ) (connector - (text "q" (rect 672 -216 679 -205)(font "Arial" )) + (text "q" (rect 672 -216 680 -205)(font "Arial" )) (pt 664 -200) (pt 704 -200) ) (connector - (text "d" (rect 664 -280 671 -269)(font "Arial" )) + (text "d" (rect 664 -280 672 -269)(font "Arial" )) (pt 656 -264) (pt 712 -264) ) (connector - (text "xti_mclk_a" (rect 704 -552 755 -541)(font "Arial" )) + (text "xti_mclk_a" (rect 704 -552 757 -541)(font "Arial" )) (pt 696 -536) (pt 744 -536) ) (connector - (text "xti_mclk_b" (rect 704 -536 755 -525)(font "Arial" )) + (text "xti_mclk_b" (rect 704 -536 757 -525)(font "Arial" )) (pt 696 -520) (pt 744 -520) ) diff --git a/FPGA/sound_gene/sound_gene.qsf b/FPGA/sound_gene/sound_gene.qsf index 1134a8d..704fda1 100644 --- a/FPGA/sound_gene/sound_gene.qsf +++ b/FPGA/sound_gene/sound_gene.qsf @@ -83,4 +83,5 @@ set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd set_global_assignment -name BDF_FILE sound_gene.bdf -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" \ No newline at end of file diff --git a/FPGA/sound_gene/sound_gene.qws b/FPGA/sound_gene/sound_gene.qws index 77343f3..d7ed2ff 100644 Binary files a/FPGA/sound_gene/sound_gene.qws and b/FPGA/sound_gene/sound_gene.qws differ diff --git a/FPGA/top/top.qws b/FPGA/top/top.qws index 5206e56..671a6c5 100644 Binary files a/FPGA/top/top.qws and b/FPGA/top/top.qws differ -- cgit v1.2.3