summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorPacien TRAN-GIRARD2014-04-11 22:37:38 +0200
committerPacien TRAN-GIRARD2014-04-11 22:37:38 +0200
commit693a38c41f26c27e54a7e8b96c550eaf6008b56f (patch)
treebfaf35489c2d01664b2716495a0afa1e0fa316a8
parentf13848cd9cd2aba2af4200ea497a10f946a44040 (diff)
downloadfpga-home-automation-693a38c41f26c27e54a7e8b96c550eaf6008b56f.tar.gz
Add display module
-rw-r--r--FPGA/display/display.bdf503
-rw-r--r--FPGA/display/display.qpf30
-rw-r--r--FPGA/display/display.qsf118
-rw-r--r--FPGA/display/display_pin.tcl62
-rw-r--r--FPGA/display/lpm_constant_1.bsf49
-rw-r--r--FPGA/display/lpm_constant_1.cmp21
-rw-r--r--FPGA/display/lpm_constant_1.vhd109
-rw-r--r--FPGA/display/lpm_constant_a.bsf49
-rw-r--r--FPGA/display/lpm_constant_a.cmp21
-rw-r--r--FPGA/display/lpm_constant_a.vhd109
-rw-r--r--FPGA/display/lpm_constant_f.bsf49
-rw-r--r--FPGA/display/lpm_constant_f.cmp21
-rw-r--r--FPGA/display/lpm_constant_f.vhd109
-rw-r--r--FPGA/display/seven_segment_decoder.bsf49
14 files changed, 1299 insertions, 0 deletions
diff --git a/FPGA/display/display.bdf b/FPGA/display/display.bdf
new file mode 100644
index 0000000..c40e75b
--- /dev/null
+++ b/FPGA/display/display.bdf
@@ -0,0 +1,503 @@
1/*
2WARNING: Do NOT edit the input and output ports in this file in a text
3editor if you plan to continue editing the block that represents it in
4the Block Editor! File corruption is VERY likely to occur.
5*/
6/*
7Copyright (C) 1991-2013 Altera Corporation
8Your use of Altera Corporation's design tools, logic functions
9and other software and tools, and its AMPP partner logic
10functions, and any output files from any of the foregoing
11(including device programming or simulation files), and any
12associated documentation or information are expressly subject
13to the terms and conditions of the Altera Program License
14Subscription Agreement, Altera MegaCore Function License
15Agreement, or other applicable license agreement, including,
16without limitation, that your use is for the sole purpose of
17programming logic devices manufactured by Altera and sold by
18Altera or its authorized distributors. Please refer to the
19applicable agreement for further details.
20*/
21(header "graphic" (version "1.4"))
22(pin
23 (input)
24 (rect 96 48 272 64)
25 (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
26 (text "fan_auto" (rect 9 0 52 11)(font "Arial" ))
27 (pt 176 8)
28 (drawing
29 (line (pt 92 12)(pt 117 12))
30 (line (pt 92 4)(pt 117 4))
31 (line (pt 121 8)(pt 176 8))
32 (line (pt 92 12)(pt 92 4))
33 (line (pt 117 4)(pt 121 8))
34 (line (pt 117 12)(pt 121 8))
35 )
36 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
37)
38(pin
39 (input)
40 (rect 96 64 272 80)
41 (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
42 (text "alarm_user" (rect 9 0 65 11)(font "Arial" ))
43 (pt 176 8)
44 (drawing
45 (line (pt 92 12)(pt 117 12))
46 (line (pt 92 4)(pt 117 4))
47 (line (pt 121 8)(pt 176 8))
48 (line (pt 92 12)(pt 92 4))
49 (line (pt 117 4)(pt 121 8))
50 (line (pt 117 12)(pt 121 8))
51 )
52 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
53)
54(pin
55 (input)
56 (rect 96 80 272 96)
57 (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
58 (text "speed[1..0]" (rect 9 0 64 11)(font "Arial" ))
59 (pt 176 8)
60 (drawing
61 (line (pt 92 12)(pt 117 12))
62 (line (pt 92 4)(pt 117 4))
63 (line (pt 121 8)(pt 176 8))
64 (line (pt 92 12)(pt 92 4))
65 (line (pt 117 4)(pt 121 8))
66 (line (pt 117 12)(pt 121 8))
67 )
68 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
69)
70(pin
71 (output)
72 (rect 760 48 936 64)
73 (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
74 (text "hex7[6..0]" (rect 90 0 138 11)(font "Arial" ))
75 (pt 0 8)
76 (drawing
77 (line (pt 0 8)(pt 52 8))
78 (line (pt 52 4)(pt 78 4))
79 (line (pt 52 12)(pt 78 12))
80 (line (pt 52 12)(pt 52 4))
81 (line (pt 78 4)(pt 82 8))
82 (line (pt 82 8)(pt 78 12))
83 (line (pt 78 12)(pt 82 8))
84 )
85)
86(pin
87 (output)
88 (rect 760 64 936 80)
89 (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
90 (text "hex6[6..0]" (rect 90 0 138 11)(font "Arial" ))
91 (pt 0 8)
92 (drawing
93 (line (pt 0 8)(pt 52 8))
94 (line (pt 52 4)(pt 78 4))
95 (line (pt 52 12)(pt 78 12))
96 (line (pt 52 12)(pt 52 4))
97 (line (pt 78 4)(pt 82 8))
98 (line (pt 82 8)(pt 78 12))
99 (line (pt 78 12)(pt 82 8))
100 )
101)
102(pin
103 (output)
104 (rect 760 80 936 96)
105 (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
106 (text "hex4[6..0]" (rect 90 0 138 11)(font "Arial" ))
107 (pt 0 8)
108 (drawing
109 (line (pt 0 8)(pt 52 8))
110 (line (pt 52 4)(pt 78 4))
111 (line (pt 52 12)(pt 78 12))
112 (line (pt 52 12)(pt 52 4))
113 (line (pt 78 4)(pt 82 8))
114 (line (pt 82 8)(pt 78 12))
115 (line (pt 78 12)(pt 82 8))
116 )
117)
118(symbol
119 (rect 216 544 248 576)
120 (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6)))
121 (text "inst1" (rect 3 21 26 32)(font "Arial" )(invisible))
122 (port
123 (pt 16 0)
124 (output)
125 (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible))
126 (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible))
127 (line (pt 16 8)(pt 16 0))
128 )
129 (drawing
130 (line (pt 8 8)(pt 16 16))
131 (line (pt 16 16)(pt 24 8))
132 (line (pt 8 8)(pt 24 8))
133 )
134)
135(symbol
136 (rect 392 496 568 576)
137 (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" ))
138 (text "fan_speed" (rect 8 64 59 75)(font "Arial" ))
139 (port
140 (pt 0 32)
141 (input)
142 (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" ))
143 (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" ))
144 (line (pt 0 32)(pt 16 32)(line_width 3))
145 )
146 (port
147 (pt 176 32)
148 (output)
149 (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" ))
150 (text "hex[6..0]" (rect 120 27 155 38)(font "Arial" ))
151 (line (pt 176 32)(pt 160 32)(line_width 3))
152 )
153 (parameter
154 "active_low"
155 "true"
156 ""
157 (type "PARAMETER_ENUM") )
158 (drawing
159 (rectangle (rect 16 16 160 64))
160 )
161 (annotation_block (parameter)(rect 568 464 728 496))
162)
163(symbol
164 (rect 392 624 568 704)
165 (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" ))
166 (text "a" (rect 8 64 15 75)(font "Arial" ))
167 (port
168 (pt 0 32)
169 (input)
170 (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" ))
171 (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" ))
172 (line (pt 0 32)(pt 16 32)(line_width 3))
173 )
174 (port
175 (pt 176 32)
176 (output)
177 (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" ))
178 (text "hex[6..0]" (rect 120 27 155 38)(font "Arial" ))
179 (line (pt 176 32)(pt 160 32)(line_width 3))
180 )
181 (parameter
182 "active_low"
183 "true"
184 ""
185 (type "PARAMETER_ENUM") )
186 (drawing
187 (rectangle (rect 16 16 160 64))
188 )
189 (annotation_block (parameter)(rect 568 592 728 624))
190)
191(symbol
192 (rect 392 752 568 832)
193 (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" ))
194 (text "f" (rect 8 64 11 75)(font "Arial" ))
195 (port
196 (pt 0 32)
197 (input)
198 (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" ))
199 (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" ))
200 (line (pt 0 32)(pt 16 32)(line_width 3))
201 )
202 (port
203 (pt 176 32)
204 (output)
205 (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" ))
206 (text "hex[6..0]" (rect 120 27 155 38)(font "Arial" ))
207 (line (pt 176 32)(pt 160 32)(line_width 3))