summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorPacien TRAN-GIRARD2014-06-13 16:54:09 +0200
committerPacien TRAN-GIRARD2014-06-13 16:54:09 +0200
commit70328925347a3edc0d5d046684ce9082ceb5af37 (patch)
treed3aaba11546a6f5357b38f1ba0cbe64721c77afd
parent9c491ec71995dfb34d8ed95d7bb098fc1614c92e (diff)
downloadfpga-home-automation-70328925347a3edc0d5d046684ce9082ceb5af37.tar.gz
Add tcl file
-rw-r--r--FPGA/top/top.tcl4
1 files changed, 3 insertions, 1 deletions
diff --git a/FPGA/top/top.tcl b/FPGA/top/top.tcl
index 4842b1c..cda6908 100644
--- a/FPGA/top/top.tcl
+++ b/FPGA/top/top.tcl
@@ -14,7 +14,7 @@
14 14
15# Quartus II: Generate Tcl File for Project 15# Quartus II: Generate Tcl File for Project
16# File: top.tcl 16# File: top.tcl
17# Generated on: Fri Jun 13 15:54:40 2014 17# Generated on: Fri Jun 13 16:11:43 2014
18 18
19# Load Quartus II Tcl Project package 19# Load Quartus II Tcl Project package
20package require ::quartus::project 20package require ::quartus::project
@@ -55,6 +55,8 @@ if {$make_assignments} {
55 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top 55 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
56 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top 56 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
57 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" 57 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
58 set_global_assignment -name SOURCE_FILE ../display/lpm_constant_1.cmp
59 set_global_assignment -name VHDL_FILE ../display/lpm_constant_1.vhd
58 set_global_assignment -name BSF_FILE ../display/lpm_constant_a.bsf 60 set_global_assignment -name BSF_FILE ../display/lpm_constant_a.bsf
59 set_global_assignment -name VHDL_FILE ../display/lpm_constant_f.vhd 61 set_global_assignment -name VHDL_FILE ../display/lpm_constant_f.vhd
60 set_global_assignment -name VHDL_FILE ../display/lpm_constant_a.vhd 62 set_global_assignment -name VHDL_FILE ../display/lpm_constant_a.vhd