summaryrefslogtreecommitdiff
path: root/FPGA/LCD_message/LCD_message.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/LCD_message/LCD_message.qsf')
-rw-r--r--FPGA/LCD_message/LCD_message.qsf157
1 files changed, 0 insertions, 157 deletions
diff --git a/FPGA/LCD_message/LCD_message.qsf b/FPGA/LCD_message/LCD_message.qsf
deleted file mode 100644
index 600b941..0000000
--- a/FPGA/LCD_message/LCD_message.qsf
+++ /dev/null
@@ -1,157 +0,0 @@
1# -------------------------------------------------------------------------- #
2#
3# Copyright (C) 1991-2013 Altera Corporation
4# Your use of Altera Corporation's design tools, logic functions
5# and other software and tools, and its AMPP partner logic
6# functions, and any output files from any of the foregoing
7# (including device programming or simulation files), and any
8# associated documentation or information are expressly subject
9# to the terms and conditions of the Altera Program License
10# Subscription Agreement, Altera MegaCore Function License
11# Agreement, or other applicable license agreement, including,
12# without limitation, that your use is for the sole purpose of
13# programming logic devices manufactured by Altera and sold by
14# Altera or its authorized distributors. Please refer to the
15# applicable agreement for further details.
16#
17# -------------------------------------------------------------------------- #
18#
19# Quartus II 32-bit
20# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21# Date created = 15:35:48 juin 02, 2014
22#
23# -------------------------------------------------------------------------- #
24#
25# Notes:
26#
27# 1) The default values for assignments are stored in the file:
28# LCD_message_assignment_defaults.qdf
29# If this file doesn't exist, see file:
30# assignment_defaults.qdf
31#
32# 2) Altera recommends that you do not modify this file. This
33# file is updated automatically by the Quartus II software
34# and any changes you make may be lost or overwritten.
35#
36# -------------------------------------------------------------------------- #
37
38
39set_global_assignment -name FAMILY "Cyclone II"
40set_global_assignment -name DEVICE EP2C35F672C6
41set_global_assignment -name TOP_LEVEL_ENTITY LCD_message
42set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
43set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:35:48 JUIN 02, 2014"
44set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
45set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
49set_global_assignment -name USE_CONFIGURATION_DEVICE ON
50set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
51set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
52set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
53set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
54set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd
55set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd
56set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd
57set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd
58set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd
59set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
60set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd
61set_global_assignment -name VHDL_FILE ../vhdl/message.vhd
62set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd
63set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd
64set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd
65set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
66set_global_assignment -name BDF_FILE ../vhdl/LCD_message.bdf
67set_location_assignment PIN_N25 -to alarm_user
68set_location_assignment PIN_P25 -to speed_user[0]
69set_location_assignment PIN_AE14 -to speed_user[1]
70set_location_assignment PIN_N26 -to fan_auto_user
71set_location_assignment PIN_AE23 -to alarm
72set_location_assignment PIN_AF23 -to fan_auto
73set_location_assignment PIN_AB21 -to speed[0]
74set_location_assignment PIN_AC22 -to speed[1]
75set_location_assignment PIN_N2 -to clk
76set_location_assignment PIN_G26 -to resetn
77set_location_assignment PIN_M23 -to hot
78set_location_assignment PIN_K26 -to fan
79set_location_assignment PIN_B4 -to aud_bclk
80set_location_assignment PIN_A4 -to aud_dacdat
81set_location_assignment PIN_C6 -to aud_daclrck
82set_location_assignment PIN_A5 -to aud_xck
83set_location_assignment PIN_A6 -to i2c_sclk
84set_location_assignment PIN_B6 -to i2c_sdat
85set_location_assignment PIN_M20 -to sound_high_level
86set_location_assignment PIN_Y18 -to led_fan
87set_location_assignment PIN_AE22 -to end_config
88set_location_assignment PIN_M25 -to xti_mclk
89set_location_assignment PIN_K1 -to LCD_RS
90set_location_assignment PIN_K4 -to LCD_RW
91set_location_assignment PIN_K3 -to LCD_EN
92set_location_assignment PIN_K2 -to LCD_BLON
93set_location_assignment PIN_L4 -to LCD_ON
94set_location_assignment PIN_H3 -to LCD_DATA[7]
95set_location_assignment PIN_H4 -to LCD_DATA[6]
96set_location_assignment PIN_J3 -to LCD_DATA[5]
97set_location_assignment PIN_J4 -to LCD_DATA[4]
98set_location_assignment PIN_H2 -to LCD_DATA[3]
99set_location_assignment PIN_H1 -to LCD_DATA[2]
100set_location_assignment PIN_J2 -to LCD_DATA[1]
101set_location_assignment PIN_J1 -to LCD_DATA[0]
102set_location_assignment PIN_AF10 -to hex0[0]
103set_location_assignment PIN_AB12 -to hex0[1]
104set_location_assignment PIN_AC12 -to hex0[2]
105set_location_assignment PIN_AD11 -to hex0[3]
106set_location_assignment PIN_AE11 -to hex0[4]
107set_location_assignment PIN_V14 -to hex0[5]
108set_location_assignment PIN_V13 -to hex0[6]
109set_location_assignment PIN_V20 -to hex1[0]
110set_location_assignment PIN_V21 -to hex1[1]
111set_location_assignment PIN_W21 -to hex1[2]
112set_location_assignment PIN_Y22 -to hex1[3]
113set_location_assignment PIN_AA24 -to hex1[4]
114set_location_assignment PIN_AA23 -to hex1[5]
115set_location_assignment PIN_AB24 -to hex1[6]
116set_location_assignment PIN_AB23 -to hex2[0]
117set_location_assignment PIN_V22 -to hex2[1]
118set_location_assignment PIN_AC25 -to hex2[2]
119set_location_assignment PIN_AC26 -to hex2[3]
120set_location_assignment PIN_AB26 -to hex2[4]
121set_location_assignment PIN_AB25 -to hex2[5]
122set_location_assignment PIN_Y24 -to hex2[6]
123set_location_assignment PIN_Y23 -to hex3[0]
124set_location_assignment PIN_AA25 -to hex3[1]
125set_location_assignment PIN_AA26 -to hex3[2]
126set_location_assignment PIN_Y26 -to hex3[3]
127set_location_assignment PIN_Y25 -to hex3[4]
128set_location_assignment PIN_U22 -to hex3[5]
129set_location_assignment PIN_W24 -to hex3[6]
130set_location_assignment PIN_U9 -to hex4[0]
131set_location_assignment PIN_U1 -to hex4[1]
132set_location_assignment PIN_U2 -to hex4[2]
133set_location_assignment PIN_T4 -to hex4[3]
134set_location_assignment PIN_R7 -to hex4[4]
135set_location_assignment PIN_R6 -to hex4[5]
136set_location_assignment PIN_T3 -to hex4[6]
137set_location_assignment PIN_T2 -to hex5[0]
138set_location_assignment PIN_P6 -to hex5[1]
139set_location_assignment PIN_P7 -to hex5[2]
140set_location_assignment PIN_T9 -to hex5[3]
141set_location_assignment PIN_R5 -to hex5[4]
142set_location_assignment PIN_R4 -to hex5[5]
143set_location_assignment PIN_R3 -to hex5[6]
144set_location_assignment PIN_R2 -to hex6[0]
145set_location_assignment PIN_P4 -to hex6[1]
146set_location_assignment PIN_P3 -to hex6[2]
147set_location_assignment PIN_M2 -to hex6[3]
148set_location_assignment PIN_M3 -to hex6[4]
149set_location_assignment PIN_M5 -to hex6[5]
150set_location_assignment PIN_M4 -to hex6[6]
151set_location_assignment PIN_L3 -to hex7[0]
152set_location_assignment PIN_L2 -to hex7[1]
153set_location_assignment PIN_L9 -to hex7[2]
154set_location_assignment PIN_L6 -to hex7[3]
155set_location_assignment PIN_L7 -to hex7[4]
156set_location_assignment PIN_P9 -to hex7[5]
157set_location_assignment PIN_N9 -to hex7[6] \ No newline at end of file