summaryrefslogtreecommitdiff
path: root/FPGA/codec_clock
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/codec_clock')
-rw-r--r--FPGA/codec_clock/clock_divider.bsf61
-rw-r--r--FPGA/codec_clock/codec_clock.bdf125
-rw-r--r--FPGA/codec_clock/codec_clock.bsf31
-rw-r--r--FPGA/codec_clock/codec_clock.qsf1
-rw-r--r--FPGA/codec_clock/codec_clock.qwsbin2276 -> 905 bytes
-rw-r--r--FPGA/codec_clock/codec_clock.tcl91
6 files changed, 212 insertions, 97 deletions
diff --git a/FPGA/codec_clock/clock_divider.bsf b/FPGA/codec_clock/clock_divider.bsf
deleted file mode 100644
index de8cb37..0000000
--- a/FPGA/codec_clock/clock_divider.bsf
+++ /dev/null
@@ -1,61 +0,0 @@
1/*
2WARNING: Do NOT edit the input and output ports in this file in a text
3editor if you plan to continue editing the block that represents it in
4the Block Editor! File corruption is VERY likely to occur.
5*/
6/*
7Copyright (C) 1991-2013 Altera Corporation
8Your use of Altera Corporation's design tools, logic functions
9and other software and tools, and its AMPP partner logic
10functions, and any output files from any of the foregoing
11(including device programming or simulation files), and any
12associated documentation or information are expressly subject
13to the terms and conditions of the Altera Program License
14Subscription Agreement, Altera MegaCore Function License
15Agreement, or other applicable license agreement, including,
16without limitation, that your use is for the sole purpose of
17programming logic devices manufactured by Altera and sold by
18Altera or its authorized distributors. Please refer to the
19applicable agreement for further details.
20*/
21(header "symbol" (version "1.1"))
22(symbol
23 (rect 16 16 176 96)
24 (text "clock_divider" (rect 5 0 56 12)(font "Arial" ))
25 (text "inst" (rect 8 64 20 76)(font "Arial" ))
26 (port
27 (pt 0 32)
28 (input)
29 (text "clk" (rect 0 0 10 12)(font "Arial" ))
30 (text "clk" (rect 21 27 31 39)(font "Arial" ))
31 (line (pt 0 32)(pt 16 32)(line_width 1))
32 )
33 (port
34 (pt 0 48)
35 (input)
36 (text "resetn" (rect 0 0 24 12)(font "Arial" ))
37 (text "resetn" (rect 21 43 45 55)(font "Arial" ))
38 (line (pt 0 48)(pt 16 48)(line_width 1))
39 )
40 (port
41 (pt 160 32)
42 (output)
43 (text "en_user" (rect 0 0 33 12)(font "Arial" ))
44 (text "en_user" (rect 106 27 139 39)(font "Arial" ))
45 (line (pt 160 32)(pt 144 32)(line_width 1))
46 )
47 (parameter
48 "board_frequency"
49 "50000000.0"
50 ""
51 (type "PARAMETER_SIGNED_FLOAT") )
52 (parameter
53 "user_frequency"
54 "4.0"
55 ""
56 (type "PARAMETER_SIGNED_FLOAT") )
57 (drawing
58 (rectangle (rect 16 16 144 64)(line_width 1))
59 )
60 (annotation_block (parameter)(rect 176 -64 276 16))
61)
diff --git a/FPGA/codec_clock/codec_clock.bdf b/FPGA/codec_clock/codec_clock.bdf
index df9857d..bd608e1 100644
--- a/FPGA/codec_clock/codec_clock.bdf
+++ b/FPGA/codec_clock/codec_clock.bdf
@@ -21,9 +21,9 @@ applicable agreement for further details.
21(header "graphic" (version "1.4")) 21(header "graphic" (version "1.4"))
22(pin 22(pin
23 (input) 23 (input)
24 (rect 128 104 296 120) 24 (rect 64 96 232 112)
25 (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) 25 (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
26 (text "clk" (rect 5 0 21 11)(font "Arial" )) 26 (text "clk" (rect 5 0 19 11)(font "Arial" ))
27 (pt 168 8) 27 (pt 168 8)
28 (drawing 28 (drawing
29 (line (pt 84 12)(pt 109 12)) 29 (line (pt 84 12)(pt 109 12))
@@ -33,14 +33,14 @@ applicable agreement for further details.
33 (line (pt 109 4)(pt 113 8)) 33 (line (pt 109 4)(pt 113 8))
34 (line (pt 109 12)(pt 113 8)) 34 (line (pt 109 12)(pt 113 8))
35 ) 35 )
36 (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) 36 (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
37 (annotation_block (location)(rect 72 120 128 136)) 37 (annotation_block (location)(rect 8 112 64 128))
38) 38)
39(pin 39(pin
40 (input) 40 (input)
41 (rect 128 120 296 136) 41 (rect 64 112 232 128)
42 (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) 42 (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
43 (text "resetn" (rect 5 0 37 11)(font "Arial" )) 43 (text "resetn" (rect 5 0 35 11)(font "Arial" ))
44 (pt 168 8) 44 (pt 168 8)
45 (drawing 45 (drawing
46 (line (pt 84 12)(pt 109 12)) 46 (line (pt 84 12)(pt 109 12))
@@ -50,14 +50,14 @@ applicable agreement for further details.
50 (line (pt 109 4)(pt 113 8)) 50 (line (pt 109 4)(pt 113 8))
51 (line (pt 109 12)(pt 113 8)) 51 (line (pt 109 12)(pt 113 8))
52 ) 52 )
53 (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) 53 (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
54 (annotation_block (location)(rect 64 136 128 152)) 54 (annotation_block (location)(rect 0 128 64 144))
55) 55)
56(pin 56(pin
57 (output) 57 (output)
58 (rect 456 104 632 120) 58 (rect 512 96 688 112)
59 (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) 59 (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
60 (text "xti_mclk" (rect 90 0 131 11)(font "Arial" )) 60 (text "xti_mclk_a" (rect 90 0 141 11)(font "Arial" ))
61 (pt 0 8) 61 (pt 0 8)
62 (drawing 62 (drawing
63 (line (pt 0 8)(pt 52 8)) 63 (line (pt 0 8)(pt 52 8))
@@ -68,31 +68,48 @@ applicable agreement for further details.
68 (line (pt 82 8)(pt 78 12)) 68 (line (pt 82 8)(pt 78 12))
69 (line (pt 78 12)(pt 82 8)) 69 (line (pt 78 12)(pt 82 8))
70 ) 70 )
71 (annotation_block (location)(rect 632 120 696 136)) 71 (annotation_block (location)(rect 688 112 752 128))
72)
73(pin
74 (output)
75 (rect 512 240 688 256)
76 (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
77 (text "xti_mclk_b" (rect 90 0 141 11)(font "Arial" ))
78 (pt 0 8)
79 (drawing
80 (line (pt 0 8)(pt 52 8))
81 (line (pt 52 4)(pt 78 4))
82 (line (pt 52 12)(pt 78 12))
83 (line (pt 52 12)(pt 52 4))
84 (line (pt 78 4)(pt 82 8))
85 (line (pt 82 8)(pt 78 12))
86 (line (pt 78 12)(pt 82 8))
87 )
88 (annotation_block (location)(rect 720 296 784 312))
72) 89)
73(symbol 90(symbol
74 (rect 296 80 456 160) 91 (rect 352 72 512 152)
75 (text "clock_divider" (rect 5 0 71 11)(font "Arial" )) 92 (text "clock_divider" (rect 5 0 69 11)(font "Arial" ))
76 (text "inst" (rect 8 64 26 75)(font "Arial" )) 93 (text "inst" (rect 8 64 25 75)(font "Arial" ))
77 (port 94 (port
78 (pt 0 32) 95 (pt 0 32)
79 (input) 96 (input)
80 (text "clk" (rect 0 0 15 11)(font "Arial" )) 97 (text "clk" (rect 0 0 14 11)(font "Arial" ))
81 (text "clk" (rect 21 27 36 38)(font "Arial" )) 98 (text "clk" (rect 21 27 35 38)(font "Arial" ))
82 (line (pt 0 32)(pt 16 32)) 99 (line (pt 0 32)(pt 16 32))
83 ) 100 )
84 (port 101 (port
85 (pt 0 48) 102 (pt 0 48)
86 (input) 103 (input)
87 (text "resetn" (rect 0 0 31 11)(font "Arial" )) 104 (text "resetn" (rect 0 0 30 11)(font "Arial" ))
88 (text "resetn" (rect 21 43 52 54)(font "Arial" )) 105 (text "resetn" (rect 21 43 51 54)(font "Arial" ))
89 (line (pt 0 48)(pt 16 48)) 106 (line (pt 0 48)(pt 16 48))
90 ) 107 )
91 (port 108 (port
92 (pt 160 32) 109 (pt 160 32)
93 (output) 110 (output)
94 (text "en_user" (rect 0 0 42 11)(font "Arial" )) 111 (text "en_user" (rect 0 0 41 11)(font "Arial" ))
95 (text "en_user" (rect 104 27 146 38)(font "Arial" )) 112 (text "en_user" (rect 104 27 145 38)(font "Arial" ))
96 (line (pt 160 32)(pt 144 32)) 113 (line (pt 160 32)(pt 144 32))
97 ) 114 )
98 (parameter 115 (parameter
@@ -108,5 +125,65 @@ applicable agreement for further details.
108 (drawing 125 (drawing
109 (rectangle (rect 16 16 144 64)) 126 (rectangle (rect 16 16 144 64))
110 ) 127 )
111 (annotation_block (parameter)(rect 456 40 641 79)) 128 (annotation_block (parameter)(rect 512 32 697 71))
129)
130(symbol
131 (rect 352 216 512 296)
132 (text "clock_divider" (rect 5 0 69 11)(font "Arial" ))
133 (text "inst1" (rect 8 64 31 75)(font "Arial" ))
134 (port
135 (pt 0 32)
136 (input)
137 (text "clk" (rect 0 0 14 11)(font "Arial" ))
138 (text "clk" (rect 21 27 35 38)(font "Arial" ))
139 (line (pt 0 32)(pt 16 32))
140 )
141 (port
142