summaryrefslogtreecommitdiff
path: root/FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v')
-rw-r--r--FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v52
1 files changed, 0 insertions, 52 deletions
diff --git a/FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v b/FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v
deleted file mode 100644
index 90c1893..0000000
--- a/FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v
+++ /dev/null
@@ -1,52 +0,0 @@
1//lpm_mux CBX_SINGLE_OUTPUT_FILE="ON" LPM_SIZE=4 LPM_TYPE="LPM_MUX" LPM_WIDTH=1 LPM_WIDTHS=2 data result sel
2//VERSION_BEGIN 13.0 cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratixii 2013:06:12:18:04:00:SJ cbx_util_mgl 2013:06:12:18:04:00:SJ VERSION_END
3// synthesis VERILOG_INPUT_VERSION VERILOG_2001
4// altera message_off 10463
5
6
7
8// Copyright (C) 1991-2013 Altera Corporation
9// Your use of Altera Corporation's design tools, logic functions
10// and other software and tools, and its AMPP partner logic
11// functions, and any output files from any of the foregoing
12// (including device programming or simulation files), and any
13// associated documentation or information are expressly subject
14// to the terms and conditions of the Altera Program License
15// Subscription Agreement, Altera MegaCore Function License
16// Agreement, or other applicable license agreement, including,
17// without limitation, that your use is for the sole purpose of
18// programming logic devices manufactured by Altera and sold by
19// Altera or its authorized distributors. Please refer to the
20// applicable agreement for further details.
21
22
23
24//synthesis_resources = lpm_mux 1
25//synopsys translate_off
26`timescale 1 ps / 1 ps
27//synopsys translate_on
28module mgbt9
29 (
30 data,
31 result,
32 sel) /* synthesis synthesis_clearbox=1 */;
33 input [3:0] data;
34 output [0:0] result;
35 input [1:0] sel;
36
37 wire [0:0] wire_mgl_prim1_result;
38
39 lpm_mux mgl_prim1
40 (
41 .data(data),
42 .result(wire_mgl_prim1_result),
43 .sel(sel));
44 defparam
45 mgl_prim1.lpm_size = 4,
46 mgl_prim1.lpm_type = "LPM_MUX",
47 mgl_prim1.lpm_width = 1,
48 mgl_prim1.lpm_widths = 2;
49 assign
50 result = wire_mgl_prim1_result;
51endmodule //mgbt9
52//VALID FILE