summaryrefslogtreecommitdiff
path: root/FPGA/pwm/lpm_counter0.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/pwm/lpm_counter0.vhd')
-rw-r--r--FPGA/pwm/lpm_counter0.vhd130
1 files changed, 0 insertions, 130 deletions
diff --git a/FPGA/pwm/lpm_counter0.vhd b/FPGA/pwm/lpm_counter0.vhd
deleted file mode 100644
index 9a8bd70..0000000
--- a/FPGA/pwm/lpm_counter0.vhd
+++ /dev/null
@@ -1,130 +0,0 @@
1-- megafunction wizard: %LPM_COUNTER%
2-- GENERATION: STANDARD
3-- VERSION: WM1.0
4-- MODULE: LPM_COUNTER
5
6-- ============================================================
7-- File Name: lpm_counter0.vhd
8-- Megafunction Name(s):
9-- LPM_COUNTER
10--
11-- Simulation Library Files(s):
12-- lpm
13-- ============================================================
14-- ************************************************************
15-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16--
17-- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
18-- ************************************************************
19
20
21--Copyright (C) 1991-2013 Altera Corporation
22--Your use of Altera Corporation's design tools, logic functions
23--and other software and tools, and its AMPP partner logic
24--functions, and any output files from any of the foregoing
25--(including device programming or simulation files), and any
26--associated documentation or information are expressly subject
27--to the terms and conditions of the Altera Program License
28--Subscription Agreement, Altera MegaCore Function License
29--Agreement, or other applicable license agreement, including,
30--without limitation, that your use is for the sole purpose of
31--programming logic devices manufactured by Altera and sold by
32--Altera or its authorized distributors. Please refer to the
33--applicable agreement for further details.
34
35
36LIBRARY ieee;
37USE ieee.std_logic_1164.all;
38
39LIBRARY lpm;
40USE lpm.all;
41
42ENTITY lpm_counter0 IS
43 PORT
44 (
45 aclr : IN STD_LOGIC ;
46 clock : IN STD_LOGIC ;
47 q : OUT STD_LOGIC_VECTOR (18 DOWNTO 0)
48 );
49END lpm_counter0;
50
51
52ARCHITECTURE SYN OF lpm_counter0 IS
53
54 SIGNAL sub_wire0 : STD_LOGIC_VECTOR (18 DOWNTO 0);
55
56
57
58 COMPONENT lpm_counter
59 GENERIC (
60 lpm_direction : STRING;
61 lpm_port_updown : STRING;
62 lpm_type : STRING;
63 lpm_width : NATURAL
64 );
65 PORT (
66 aclr : IN STD_LOGIC ;
67 clock : IN STD_LOGIC ;
68 q : OUT STD_LOGIC_VECTOR (18 DOWNTO 0)
69 );
70 END COMPONENT;
71
72BEGIN
73 q <= sub_wire0(18 DOWNTO 0);
74
75 LPM_COUNTER_component : LPM_COUNTER
76 GENERIC MAP (
77 lpm_direction => "UP",
78 lpm_port_updown => "PORT_UNUSED",
79 lpm_type => "LPM_COUNTER",
80 lpm_width => 19
81 )
82 PORT MAP (
83 aclr => aclr,
84 clock => clock,
85 q => sub_wire0
86 );
87
88
89
90END SYN;
91
92-- ============================================================
93-- CNX file retrieval info
94-- ============================================================
95-- Retrieval info: PRIVATE: ACLR NUMERIC "1"
96-- Retrieval info: PRIVATE: ALOAD NUMERIC "0"
97-- Retrieval info: PRIVATE: ASET NUMERIC "0"
98-- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
99-- Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
100-- Retrieval info: PRIVATE: CNT_EN NUMERIC "0"
101-- Retrieval info: PRIVATE: CarryIn NUMERIC "0"
102-- Retrieval info: PRIVATE: CarryOut NUMERIC "0"
103-- Retrieval info: PRIVATE: Direction NUMERIC "0"
104-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
105-- Retrieval info: PRIVATE: ModulusCounter NUMERIC "0"
106-- Retrieval info: PRIVATE: ModulusValue NUMERIC "0"
107-- Retrieval info: PRIVATE: SCLR NUMERIC "0"
108-- Retrieval info: PRIVATE: SLOAD NUMERIC "0"
109-- Retrieval info: PRIVATE: SSET NUMERIC "0"
110-- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
111-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
112-- Retrieval info: PRIVATE: nBit NUMERIC "19"
113-- Retrieval info: PRIVATE: new_diagram STRING "1"
114-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
115-- Retrieval info: CONSTANT: LPM_DIRECTION STRING "UP"
116-- Retrieval info: CONSTANT: LPM_PORT_UPDOWN STRING "PORT_UNUSED"
117-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COUNTER"
118-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "19"
119-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL "aclr"
120-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
121-- Retrieval info: USED_PORT: q 0 0 19 0 OUTPUT NODEFVAL "q[18..0]"
122-- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
123-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
124-- Retrieval info: CONNECT: q 0 0 19 0 @q 0 0 19 0
125-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0.vhd TRUE
126-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0.inc FALSE
127-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0.cmp TRUE
128-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0.bsf TRUE FALSE
129-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0_inst.vhd FALSE
130-- Retrieval info: LIB_FILE: lpm