summaryrefslogtreecommitdiff
path: root/FPGA/sound_gene/sound_gene.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/sound_gene/sound_gene.tcl')
-rw-r--r--FPGA/sound_gene/sound_gene.tcl98
1 files changed, 98 insertions, 0 deletions
diff --git a/FPGA/sound_gene/sound_gene.tcl b/FPGA/sound_gene/sound_gene.tcl
new file mode 100644
index 0000000..0f363d8
--- /dev/null
+++ b/FPGA/sound_gene/sound_gene.tcl
@@ -0,0 +1,98 @@
1# Copyright (C) 1991-2013 Altera Corporation
2# Your use of Altera Corporation's design tools, logic functions
3# and other software and tools, and its AMPP partner logic
4# functions, and any output files from any of the foregoing
5# (including device programming or simulation files), and any
6# associated documentation or information are expressly subject
7# to the terms and conditions of the Altera Program License
8# Subscription Agreement, Altera MegaCore Function License
9# Agreement, or other applicable license agreement, including,
10# without limitation, that your use is for the sole purpose of
11# programming logic devices manufactured by Altera and sold by
12# Altera or its authorized distributors. Please refer to the
13# applicable agreement for further details.
14
15# Quartus II: Generate Tcl File for Project
16# File: sound_gene.tcl
17# Generated on: Sun Jun 15 15:22:44 2014
18
19# Load Quartus II Tcl Project package
20package require ::quartus::project
21
22set need_to_close_project 0
23set make_assignments 1
24
25# Check that the right project is open
26if {[is_project_open]} {
27 if {[string compare $quartus(project) "sound_gene"]} {
28 puts "Project sound_gene is not open"
29 set make_assignments 0
30 }
31} else {
32 # Only open if not already open
33 if {[project_exists sound_gene]} {
34 project_open -revision sound_gene sound_gene
35 } else {
36 project_new -revision sound_gene sound_gene
37 }
38 set need_to_close_project 1
39}
40
41# Make assignments
42if {$make_assignments} {
43 set_global_assignment -name FAMILY "Cyclone II"
44 set_global_assignment -name DEVICE EP2C35F672C6
45 set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
46 set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:03:18 MAI 26, 2014"
47 set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
48 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
49 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
50 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
51 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
52 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
53 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
54 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
55 set_global_assignment -name USE_CONFIGURATION_DEVICE ON
56 set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
57 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
58 set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf
59 set_global_assignment -name BSF_FILE ../codec_clock/codec_clock.bsf
60 set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd
61 set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd
62 set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd
63 set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd
64 set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
65 set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd
66 set_global_assignment -name BDF_FILE sound_gene.bdf
67 set_location_assignment PIN_N25 -to alarm_user
68 set_location_assignment PIN_P25 -to speed_user[0]
69 set_location_assignment PIN_AE14 -to speed_user[1]
70 set_location_assignment PIN_N26 -to fan_auto_user
71 set_location_assignment PIN_AE23 -to alarm
72 set_location_assignment PIN_AF23 -to fan_auto
73 set_location_assignment PIN_AB21 -to speed[0]
74 set_location_assignment PIN_AC22 -to speed[1]
75 set_location_assignment PIN_N2 -to clk
76 set_location_assignment PIN_G26 -to resetn
77 set_location_assignment PIN_M23 -to hot
78 set_location_assignment PIN_K26 -to fan
79 set_location_assignment PIN_B4 -to aud_bclk
80 set_location_assignment PIN_A4 -to aud_dacdat
81 set_location_assignment PIN_C6 -to aud_daclrck
82 set_location_assignment PIN_A5 -to aud_xck
83 set_location_assignment PIN_A6 -to i2c_sclk
84 set_location_assignment PIN_B6 -to i2c_sdat
85 set_location_assignment PIN_M20 -to sound_high_level
86 set_location_assignment PIN_Y18 -to led_fan
87 set_location_assignment PIN_AE22 -to end_config
88 set_location_assignment PIN_M25 -to xti_mclk
89 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
90
91 # Commit assignments
92 export_assignments
93
94 # Close project
95 if {$need_to_close_project} {
96 project_close
97 }
98}