summaryrefslogtreecommitdiff
path: root/FPGA/tcl/display_pin.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/tcl/display_pin.tcl')
-rw-r--r--FPGA/tcl/display_pin.tcl62
1 files changed, 62 insertions, 0 deletions
diff --git a/FPGA/tcl/display_pin.tcl b/FPGA/tcl/display_pin.tcl
new file mode 100644
index 0000000..79fc8ad
--- /dev/null
+++ b/FPGA/tcl/display_pin.tcl
@@ -0,0 +1,62 @@
1set_location_assignment PIN_AE14 -to speed[1]
2set_location_assignment PIN_P25 -to speed[0]
3
4set_location_assignment PIN_N26 -to fan_auto
5set_location_assignment PIN_N25 -to alarm_user
6
7set_location_assignment PIN_AF10 -to hex0[0]
8set_location_assignment PIN_AB12 -to hex0[1]
9set_location_assignment PIN_AC12 -to hex0[2]
10set_location_assignment PIN_AD11 -to hex0[3]
11set_location_assignment PIN_AE11 -to hex0[4]
12set_location_assignment PIN_V14 -to hex0[5]
13set_location_assignment PIN_V13 -to hex0[6]
14set_location_assignment PIN_V20 -to hex1[0]
15set_location_assignment PIN_V21 -to hex1[1]
16set_location_assignment PIN_W21 -to hex1[2]
17set_location_assignment PIN_Y22 -to hex1[3]
18set_location_assignment PIN_AA24 -to hex1[4]
19set_location_assignment PIN_AA23 -to hex1[5]
20set_location_assignment PIN_AB24 -to hex1[6]
21set_location_assignment PIN_AB23 -to hex2[0]
22set_location_assignment PIN_V22 -to hex2[1]
23set_location_assignment PIN_AC25 -to hex2[2]
24set_location_assignment PIN_AC26 -to hex2[3]
25set_location_assignment PIN_AB26 -to hex2[4]
26set_location_assignment PIN_AB25 -to hex2[5]
27set_location_assignment PIN_Y24 -to hex2[6]
28set_location_assignment PIN_Y23 -to hex3[0]
29set_location_assignment PIN_AA25 -to hex3[1]
30set_location_assignment PIN_AA26 -to hex3[2]
31set_location_assignment PIN_Y26 -to hex3[3]
32set_location_assignment PIN_Y25 -to hex3[4]
33set_location_assignment PIN_U22 -to hex3[5]
34set_location_assignment PIN_W24 -to hex3[6]
35set_location_assignment PIN_U9 -to hex4[0]
36set_location_assignment PIN_U1 -to hex4[1]
37set_location_assignment PIN_U2 -to hex4[2]
38set_location_assignment PIN_T4 -to hex4[3]
39set_location_assignment PIN_R7 -to hex4[4]
40set_location_assignment PIN_R6 -to hex4[5]
41set_location_assignment PIN_T3 -to hex4[6]
42set_location_assignment PIN_T2 -to hex5[0]
43set_location_assignment PIN_P6 -to hex5[1]
44set_location_assignment PIN_P7 -to hex5[2]
45set_location_assignment PIN_T9 -to hex5[3]
46set_location_assignment PIN_R5 -to hex5[4]
47set_location_assignment PIN_R4 -to hex5[5]
48set_location_assignment PIN_R3 -to hex5[6]
49set_location_assignment PIN_R2 -to hex6[0]
50set_location_assignment PIN_P4 -to hex6[1]
51set_location_assignment PIN_P3 -to hex6[2]
52set_location_assignment PIN_M2 -to hex6[3]
53set_location_assignment PIN_M3 -to hex6[4]
54set_location_assignment PIN_M5 -to hex6[5]
55set_location_assignment PIN_M4 -to hex6[6]
56set_location_assignment PIN_L3 -to hex7[0]
57set_location_assignment PIN_L2 -to hex7[1]
58set_location_assignment PIN_L9 -to hex7[2]
59set_location_assignment PIN_L6 -to hex7[3]
60set_location_assignment PIN_L7 -to hex7[4]
61set_location_assignment PIN_P9 -to hex7[5]
62set_location_assignment PIN_N9 -to hex7[6] \ No newline at end of file