summaryrefslogtreecommitdiff
path: root/FPGA/top/top.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/top/top.qsf')
-rw-r--r--FPGA/top/top.qsf54
1 files changed, 15 insertions, 39 deletions
diff --git a/FPGA/top/top.qsf b/FPGA/top/top.qsf
index 7f40654..2a07bb8 100644
--- a/FPGA/top/top.qsf
+++ b/FPGA/top/top.qsf
@@ -143,60 +143,36 @@ set_location_assignment PIN_A6 -to i2c_sclk
143set_location_assignment PIN_B6 -to i2c_sdat 143set_location_assignment PIN_B6 -to i2c_sdat
144set_location_assignment PIN_AE22 -to end_config 144set_location_assignment PIN_AE22 -to end_config
145set_location_assignment PIN_M25 -to xti_mclk 145set_location_assignment PIN_M25 -to xti_mclk
146set_global_assignment -name SOURCE_FILE ../display/lpm_constant_1.cmp 146set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd
147set_global_assignment -name VHDL_FILE ../display/lpm_constant_1.vhd 147set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd
148set_global_assignment -name BSF_FILE ../display/lpm_constant_a.bsf 148set_global_assignment -name VHDL_FILE ../vhdl/message.vhd
149set_global_assignment -name VHDL_FILE ../display/lpm_constant_f.vhd 149set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd
150set_global_assignment -name VHDL_FILE ../display/lpm_constant_a.vhd 150set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd
151set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant_hex000000.qip 151set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd
152set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant0.qip 152set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd
153set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip 153set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd
154set_global_assignment -name QIP_FILE ../display/lpm_counter0.qip 154set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd
155set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
156set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd
157set_global_assignment -name QIP_FILE ../display/lpm_constant_f.qip
158set_global_assignment -name QIP_FILE ../display/lpm_constant_a.qip
159set_global_assignment -name QIP_FILE ../display/lpm_constant_1.qip
155set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip 160set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip
161set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip
156set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip 162set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip
157set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip 163set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip
158set_global_assignment -name QIP_FILE ../pwm/lpm_counter0.qip
159set_global_assignment -name QIP_FILE ../pwm/lpm_constant3.qip 164set_global_assignment -name QIP_FILE ../pwm/lpm_constant3.qip
160set_global_assignment -name QIP_FILE ../pwm/lpm_constant2.qip 165set_global_assignment -name QIP_FILE ../pwm/lpm_constant2.qip
161set_global_assignment -name QIP_FILE ../pwm/lpm_constant1.qip 166set_global_assignment -name QIP_FILE ../pwm/lpm_constant1.qip
162set_global_assignment -name QIP_FILE ../pwm/lpm_constant0.qip 167set_global_assignment -name QIP_FILE ../pwm/lpm_constant0.qip
163set_global_assignment -name QIP_FILE ../pwm/lpm_compare0.qip 168set_global_assignment -name QIP_FILE ../pwm/lpm_compare0.qip
164set_global_assignment -name BDF_FILE ../display/useless.bdf 169set_global_assignment -name BDF_FILE ../display/useless.bdf
165set_global_assignment -name BDF_FILE sound_gene.bdf
166set_global_assignment -name BDF_FILE codec_clock.bdf
167set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf 170set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf
168set_global_assignment -name BDF_FILE ../sound_gene/sound_gene.bdf 171set_global_assignment -name BDF_FILE ../sound_gene/sound_gene.bdf
169set_global_assignment -name BDF_FILE ../pwm/pwm.bdf 172set_global_assignment -name BDF_FILE ../pwm/pwm.bdf
170set_global_assignment -name BSF_FILE pwm.bsf
171set_global_assignment -name BSF_FILE lpm_mux0.bsf
172set_global_assignment -name BSF_FILE lpm_counter1.bsf
173set_global_assignment -name BSF_FILE lpm_counter0.bsf
174set_global_assignment -name BSF_FILE lpm_constant3.bsf
175set_global_assignment -name BSF_FILE lpm_constant2.bsf
176set_global_assignment -name BSF_FILE lpm_constant1.bsf
177set_global_assignment -name BSF_FILE lpm_constant0.bsf
178set_global_assignment -name BSF_FILE lpm_compare0.bsf
179set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd
180set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd
181set_global_assignment -name VHDL_FILE ../vhdl/message.vhd
182set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd
183set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd
184set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd
185set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd
186set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd
187set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd
188set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
189set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd
190set_global_assignment -name BDF_FILE ../commande/fan.bdf 173set_global_assignment -name BDF_FILE ../commande/fan.bdf
191set_global_assignment -name BDF_FILE ../commande/alarm.bdf 174set_global_assignment -name BDF_FILE ../commande/alarm.bdf
192set_global_assignment -name BDF_FILE ../display/display.bdf 175set_global_assignment -name BDF_FILE ../display/display.bdf
193set_global_assignment -name BDF_FILE ../commande/commande.bdf 176set_global_assignment -name BDF_FILE ../commande/commande.bdf
194set_global_assignment -name BDF_FILE top.bdf 177set_global_assignment -name BDF_FILE top.bdf
195set_global_assignment -name TCL_SCRIPT_FILE 7seg_pin.tcl
196set_global_assignment -name TCL_SCRIPT_FILE lcd_pin.tcl
197set_global_assignment -name TCL_SCRIPT_FILE real_io_pin.tcl
198set_global_assignment -name TCL_SCRIPT_FILE demo_io_pin.tcl
199set_global_assignment -name QIP_FILE output_files/lpm_constant00.qip
200set_global_assignment -name QIP_FILE output_files/lpm_constant0.qip
201set_global_assignment -name QIP_FILE output_files/lpm_constant42.qip
202set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file 178set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file