summaryrefslogtreecommitdiff
path: root/FPGA/top/top.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/top/top.qsf')
-rw-r--r--FPGA/top/top.qsf7
1 files changed, 5 insertions, 2 deletions
diff --git a/FPGA/top/top.qsf b/FPGA/top/top.qsf
index 2a07bb8..b93bd63 100644
--- a/FPGA/top/top.qsf
+++ b/FPGA/top/top.qsf
@@ -143,6 +143,11 @@ set_location_assignment PIN_A6 -to i2c_sclk
143set_location_assignment PIN_B6 -to i2c_sdat 143set_location_assignment PIN_B6 -to i2c_sdat
144set_location_assignment PIN_AE22 -to end_config 144set_location_assignment PIN_AE22 -to end_config
145set_location_assignment PIN_M25 -to xti_mclk 145set_location_assignment PIN_M25 -to xti_mclk
146set_global_assignment -name QIP_FILE ../display/lpm_constant_2x0.qip
147set_global_assignment -name QIP_FILE ../display/lpm_constant_7x1.qip
148set_global_assignment -name QIP_FILE ../commande/lpm_constant_00.qip
149set_global_assignment -name QIP_FILE ../commande/lpm_compare_00.qip
150set_global_assignment -name QIP_FILE ../commande/lpm_constant_11.qip
146set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd 151set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd
147set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd 152set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd
148set_global_assignment -name VHDL_FILE ../vhdl/message.vhd 153set_global_assignment -name VHDL_FILE ../vhdl/message.vhd
@@ -156,8 +161,6 @@ set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
156set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd 161set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd
157set_global_assignment -name QIP_FILE ../display/lpm_constant_f.qip 162set_global_assignment -name QIP_FILE ../display/lpm_constant_f.qip
158set_global_assignment -name QIP_FILE ../display/lpm_constant_a.qip 163set_global_assignment -name QIP_FILE ../display/lpm_constant_a.qip
159set_global_assignment -name QIP_FILE ../display/lpm_constant_1.qip
160set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip
161set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip 164set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip
162set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip 165set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip
163set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip 166set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip