summaryrefslogtreecommitdiff
path: root/FPGA/top/top.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/top/top.tcl')
-rw-r--r--FPGA/top/top.tcl56
1 files changed, 16 insertions, 40 deletions
diff --git a/FPGA/top/top.tcl b/FPGA/top/top.tcl
index cda6908..c808fc4 100644
--- a/FPGA/top/top.tcl
+++ b/FPGA/top/top.tcl
@@ -14,7 +14,7 @@
14 14
15# Quartus II: Generate Tcl File for Project 15# Quartus II: Generate Tcl File for Project
16# File: top.tcl 16# File: top.tcl
17# Generated on: Fri Jun 13 16:11:43 2014 17# Generated on: Sun Jun 15 15:27:26 2014
18 18
19# Load Quartus II Tcl Project package 19# Load Quartus II Tcl Project package
20package require ::quartus::project 20package require ::quartus::project
@@ -55,62 +55,38 @@ if {$make_assignments} {
55 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top 55 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
56 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top 56 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
57 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" 57 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
58 set_global_assignment -name SOURCE_FILE ../display/lpm_constant_1.cmp 58 set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd
59 set_global_assignment -name VHDL_FILE ../display/lpm_constant_1.vhd 59 set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd
60 set_global_assignment -name BSF_FILE ../display/lpm_constant_a.bsf 60 set_global_assignment -name VHDL_FILE ../vhdl/message.vhd
61 set_global_assignment -name VHDL_FILE ../display/lpm_constant_f.vhd 61 set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd
62 set_global_assignment -name VHDL_FILE ../display/lpm_constant_a.vhd 62 set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd
63 set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant_hex000000.qip 63 set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd
64 set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant0.qip 64 set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd
65 set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip 65 set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd
66 set_global_assignment -name QIP_FILE ../display/lpm_counter0.qip 66 set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd
67 set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
68 set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd
69 set_global_assignment -name QIP_FILE ../display/lpm_constant_f.qip
70 set_global_assignment -name QIP_FILE ../display/lpm_constant_a.qip
71 set_global_assignment -name QIP_FILE ../display/lpm_constant_1.qip
67 set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip 72 set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip
73 set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip
68 set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip 74 set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip
69 set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip 75 set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip
70 set_global_assignment -name QIP_FILE ../pwm/lpm_counter0.qip
71 set_global_assignment -name QIP_FILE ../pwm/lpm_constant3.qip 76 set_global_assignment -name QIP_FILE ../pwm/lpm_constant3.qip
72 set_global_assignment -name QIP_FILE ../pwm/lpm_constant2.qip 77 set_global_assignment -name QIP_FILE ../pwm/lpm_constant2.qip
73 set_global_assignment -name QIP_FILE ../pwm/lpm_constant1.qip 78 set_global_assignment -name QIP_FILE ../pwm/lpm_constant1.qip
74 set_global_assignment -name QIP_FILE ../pwm/lpm_constant0.qip 79 set_global_assignment -name QIP_FILE ../pwm/lpm_constant0.qip
75 set_global_assignment -name QIP_FILE ../pwm/lpm_compare0.qip 80 set_global_assignment -name QIP_FILE ../pwm/lpm_compare0.qip
76 set_global_assignment -name BDF_FILE ../display/useless.bdf 81 set_global_assignment -name BDF_FILE ../display/useless.bdf
77 set_global_assignment -name BDF_FILE sound_gene.bdf
78 set_global_assignment -name BDF_FILE codec_clock.bdf
79 set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf 82 set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf
80 set_global_assignment -name BDF_FILE ../sound_gene/sound_gene.bdf 83 set_global_assignment -name BDF_FILE ../sound_gene/sound_gene.bdf
81 set_global_assignment -name BDF_FILE ../pwm/pwm.bdf 84 set_global_assignment -name BDF_FILE ../pwm/pwm.bdf
82 set_global_assignment -name BSF_FILE pwm.bsf
83 set_global_assignment -name BSF_FILE lpm_mux0.bsf
84 set_global_assignment -name BSF_FILE lpm_counter1.bsf
85 set_global_assignment -name BSF_FILE lpm_counter0.bsf
86 set_global_assignment -name BSF_FILE lpm_constant3.bsf
87 set_global_assignment -name BSF_FILE lpm_constant2.bsf
88 set_global_assignment -name BSF_FILE lpm_constant1.bsf
89 set_global_assignment -name BSF_FILE lpm_constant0.bsf
90 set_global_assignment -name BSF_FILE lpm_compare0.bsf
91 set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd
92 set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd
93 set_global_assignment -name VHDL_FILE ../vhdl/message.vhd
94 set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd
95 set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd
96 set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd
97 set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd
98 set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd
99 set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd
100 set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
101 set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd
102 set_global_assignment -name BDF_FILE ../commande/fan.bdf 85 set_global_assignment -name BDF_FILE ../commande/fan.bdf
103 set_global_assignment -name BDF_FILE ../commande/alarm.bdf 86 set_global_assignment -name BDF_FILE ../commande/alarm.bdf
104 set_global_assignment -name BDF_FILE ../display/display.bdf 87 set_global_assignment -name BDF_FILE ../display/display.bdf
105 set_global_assignment -name BDF_FILE ../commande/commande.bdf 88 set_global_assignment -name BDF_FILE ../commande/commande.bdf
106 set_global_assignment -name BDF_FILE top.bdf 89 set_global_assignment -name BDF_FILE top.bdf
107 set_global_assignment -name TCL_SCRIPT_FILE 7seg_pin.tcl
108 set_global_assignment -name TCL_SCRIPT_FILE lcd_pin.tcl
109 set_global_assignment -name TCL_SCRIPT_FILE real_io_pin.tcl
110 set_global_assignment -name TCL_SCRIPT_FILE demo_io_pin.tcl
111 set_global_assignment -name QIP_FILE output_files/lpm_constant00.qip
112 set_global_assignment -name QIP_FILE output_files/lpm_constant0.qip
113 set_global_assignment -name QIP_FILE output_files/lpm_constant42.qip
114 set_location_assignment PIN_AF10 -to hex0[0] 90 set_location_assignment PIN_AF10 -to hex0[0]
115 set_location_assignment PIN_AB12 -to hex0[1] 91 set_location_assignment PIN_AB12 -to hex0[1]
116 set_location_assignment PIN_AC12 -to hex0[2] 92 set_location_assignment PIN_AC12 -to hex0[2]