summaryrefslogtreecommitdiff
path: root/FPGA/vhdl/message.vhd.bak
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/vhdl/message.vhd.bak')
-rw-r--r--FPGA/vhdl/message.vhd.bak67
1 files changed, 0 insertions, 67 deletions
diff --git a/FPGA/vhdl/message.vhd.bak b/FPGA/vhdl/message.vhd.bak
deleted file mode 100644
index 09988e4..0000000
--- a/FPGA/vhdl/message.vhd.bak
+++ /dev/null
@@ -1,67 +0,0 @@
1------------------------------------------
2-- Message 32 characteres ASCII
3-- ESIEE, JPO 2008
4-- Bienvenue
5------------------------------------------
6-- Creation : A. Exertier, mars 2008
7-- Modification : A. Exertier, avril 2013
8------------------------------------------
9
10
11library ieee;
12use ieee.std_logic_1164.all;
13use ieee.numeric_std.all;
14
15entity message is
16port (
17 adr : in std_logic_vector(4 downto 0);
18 do : out std_logic_vector(7 downto 0)
19 );
20end entity;
21
22architecture RTL of message is
23 type contenu is array (0 to 31) of std_logic_vector(7 downto 0);
24 constant mes : contenu := (
25 -- 1ere ligne (de 15 caracteres)
26 -- Mettre le code ASCII (en hexadecimal) de chaque caractère
27 -- exemple T => 54 (en hexadecimal)
28 -- 0=> X"54", indique que le 1er caractere (n°0) est un T
29 -- Modifier les valeurs ASCII ci-dessous
30 0 => X"54", -- T
31 1 => X"68", -- h
32 2 => X"65", -- e
33 3 => X"20", --
34 4 => X"44", -- D
35 5 => X"72", -- r
36 6 => X"65", -- e
37 7 => X"61", -- a
38 8 => X"6D", -- m
39 9 => X"20", --
40 10 => X"54", -- T
41 11 => X"65", -- e
42 12 => X"61", -- a
43 13 => X"6D", -- m
44 14 => X"20", --
45 15 => X"3A", -- :
46 -- 2eme ligne (de 15 caracteres)
47 16 => X"43", -- C
48 17 => X"6F", -- o
49 18 => X"72", -- r
50 19 => X"69", -- i
51 20 => X"6E", -- n
52 21 => X"6E", -- n
53 22 => X"65", -- e
54 23 => X"20", --
55 24 => X"65", -- e
56 25 => X"74", -- t
57 26 => X"20", --
58 27 => X"41", -- A
59 28 => X"6E", -- n
60 29 => X"6E", -- n
61 30 => X"65", -- e
62 31 => X"21" -- !
63 -- ne plus rien modifier en dessous de cette ligne
64 );
65begin
66 do <= mes(to_integer(unsigned(adr)));
67end architecture; \ No newline at end of file