summaryrefslogtreecommitdiff
path: root/FPGA/vhdl/message.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/vhdl/message.vhd')
-rw-r--r--FPGA/vhdl/message.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/FPGA/vhdl/message.vhd b/FPGA/vhdl/message.vhd
index b8bccf1..9646bfe 100644
--- a/FPGA/vhdl/message.vhd
+++ b/FPGA/vhdl/message.vhd
@@ -58,7 +58,7 @@ architecture RTL of message is
58 23 => X"21", -- ! 58 23 => X"21", -- !
59 24 => X"20", -- 59 24 => X"20", --
60 25 => X"20", -- 60 25 => X"20", --
61 26 => X"60", -- _ 61 26 => X"60", -- \
62 27 => X"5F", -- _ 62 27 => X"5F", -- _
63 28 => X"5F", -- _ 63 28 => X"5F", -- _
64 29 => X"2F", -- / 64 29 => X"2F", -- /