summaryrefslogtreecommitdiff
path: root/FPGA/codec_clock/codec_clock.bdf
blob: 8922e56b192a79ac0914948d90a0aa559b889ff9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
	(input)
	(rect 64 96 232 112)
	(text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6)))
	(text "clk" (rect 5 0 20 11)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 8 112 64 128))
)
(pin
	(input)
	(rect 64 112 232 128)
	(text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6)))
	(text "resetn" (rect 5 0 36 11)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 0 128 64 144))
)
(pin
	(output)
	(rect 64 144 240 160)
	(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
	(text "xti_mclk_a" (rect 90 0 143 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 200 184 264 200))
)
(pin
	(output)
	(rect 64 160 240 176)
	(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
	(text "xti_mclk_b" (rect 90 0 143 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 248 296 312 312))
)
(symbol
	(rect 352 72 512 152)
	(text "clock_divider" (rect 5 0 71 11)(font "Arial" ))
	(text "inst" (rect 8 64 26 75)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "clk" (rect 0 0 15 11)(font "Arial" ))
		(text "clk" (rect 21 27 36 38)(font "Arial" ))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "resetn" (rect 0 0 31 11)(font "Arial" ))
		(text "resetn" (rect 21 43 52 54)(font "Arial" ))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 160 32)
		(output)
		(text "en_user" (rect 0 0 42 11)(font "Arial" ))
		(text "en_user" (rect 104 27 146 38)(font "Arial" ))
		(line (pt 160 32)(pt 144 32))
	)
	(parameter
		"board_frequency"
		"50000000.0"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(parameter
		"user_frequency"
		"16666666.6"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(drawing
		(rectangle (rect 16 16 144 64))
	)
	(annotation_block (parameter)(rect 328 32 513 71))
)
(symbol
	(rect 352 216 512 296)
	(text "clock_divider" (rect 5 0 71 11)(font "Arial" ))
	(text "inst1" (rect 8 64 31 75)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "clk" (rect 0 0 15 11)(font "Arial" ))
		(text "clk" (rect 21 27 36 38)(font "Arial" ))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "resetn" (rect 0 0 31 11)(font "Arial" ))
		(text "resetn" (rect 21 43 52 54)(font "Arial" ))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 160 32)
		(output)
		(text "en_user" (rect 0 0 42 11)(font "Arial" ))
		(text "en_user" (rect 104 27 146 38)(font "Arial" ))
		(line (pt 160 32)(pt 144 32))
	)
	(parameter
		"board_frequency"
		"50000000.0"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(parameter
		"user_frequency"
		"10000000.0"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(drawing
		(rectangle (rect 16 16 144 64))
	)
	(annotation_block (parameter)(rect 328 176 510 215))
)
(connector
	(text "resetn" (rect 304 104 335 115)(font "Arial" ))
	(pt 352 120)
	(pt 296 120)
)
(connector
	(text "clk" (rect 304 88 319 99)(font "Arial" ))
	(pt 352 104)
	(pt 296 104)
)
(connector
	(text "resetn" (rect 304 248 335 259)(font "Arial" ))
	(pt 352 264)
	(pt 296 264)
)
(connector
	(text "clk" (rect 304 232 319 243)(font "Arial" ))
	(pt 352 248)
	(pt 296 248)
)
(connector
	(text "xti_mclk_a" (rect 523 88 576 99)(font "Arial" ))
	(pt 512 104)
	(pt 528 104)
)
(connector
	(text "xti_mclk_b" (rect 523 232 576 243)(font "Arial" ))
	(pt 512 248)
	(pt 528 248)
)