summaryrefslogtreecommitdiff
path: root/FPGA/commande/commande.bdf
blob: da0633afe79d85fb81a992e74758d0d3712f8d60 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
	(input)
	(rect 56 0 232 16)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "clk" (rect 9 0 23 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 0 16 56 32))
)
(pin
	(input)
	(rect 56 16 232 32)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "resetn" (rect 9 0 39 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect -8 32 56 48))
)
(pin
	(input)
	(rect 56 48 232 64)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "fan_auto_user" (rect 9 0 80 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect -8 64 56 80))
)
(pin
	(input)
	(rect 56 64 232 80)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "alarm_user" (rect 9 0 65 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect -8 80 56 96))
)
(pin
	(input)
	(rect 56 80 232 96)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "hot" (rect 9 0 24 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 0 96 56 112))
)
(pin
	(input)
	(rect 56 96 232 112)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "sound_high_level" (rect 9 0 95 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 0 112 56 128))
)
(pin
	(input)
	(rect 56 32 232 48)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "speed_user[1..0]" (rect 9 0 91 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect -8 48 56 64))
)
(pin
	(output)
	(rect 648 0 824 16)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "speed[1..0]" (rect 90 0 145 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 824 16 888 32))
)
(pin
	(output)
	(rect 648 16 824 32)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "fan_auto" (rect 90 0 133 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 824 32 888 48))
)
(pin
	(output)
	(rect 648 32 824 48)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "alarm" (rect 90 0 118 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 824 48 888 64))
)
(symbol
	(rect 384 0 512 128)
	(text "alarm" (rect 5 0 36 13)(font "Arial" (font_size 8)))
	(text "alarm_block" (rect 8 113 68 124)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8)))
		(text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8)))
		(text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8)))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 0 64)
		(input)
		(text "enable" (rect 0 0 37 13)(font "Arial" (font_size 8)))
		(text "enable" (rect 21 59 58 72)(font "Arial" (font_size 8)))
		(line (pt 0 64)(pt 16 64))
	)
	(port
		(pt 0 80)
		(input)
		(text "activate" (rect 0 0 43 13)(font "Arial" (font_size 8)))
		(text "activate" (rect 21 75 64 88)(font "Arial" (font_size 8)))
		(line (pt 0 80)(pt 16 80))
	)
	(port
		(pt 128 32)
		(output)
		(text "alarm" (rect 0 0 31 13)(font "Arial" (font_size 8)))
		(text "alarm" (rect 76 27 107 40)(font "Arial" (font_size 8)))
		(line (pt 128 32)(pt 112 32))
	)
	(drawing
		(rectangle (rect 16 16 112 112))
	)
)
(symbol
	(rect 344 192 544 320)
	(text "fan" (rect 5 0 22 13)(font "Arial" (font_size 8)))
	(text "fan_block" (rect 8 113 55 124)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "enable" (rect 0 0 37 13)(font "Arial" (font_size 8)))
		(text "enable" (rect 21 27 58 40)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "fan_auto_user" (rect 0 0 81 13)(font "Arial" (font_size 8)))
		(text "fan_auto_user" (rect 21 43 102 56)(font "Arial" (font_size 8)))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 0 64)
		(input)
		(text "fan_speed[1..0]" (rect 0 0 87 13)(font "Arial" (font_size 8)))
		(text "fan_speed[1..0]" (rect 21 59 108 72)(font "Arial" (font_size 8)))
		(line (pt 0 64)(pt 16 64)(line_width 3))
	)
	(port
		(pt 0 80)
		(input)
		(text "hot" (rect 0 0 17 13)(font "Arial" (font_size 8)))
		(text "hot" (rect 21 75 38 88)(font "Arial" (font_size 8)))
		(line (pt 0 80)(pt 16 80))
	)
	(port
		(pt 200 32)
		(output)
		(text "fan_auto" (rect 0 0 49 13)(font "Arial" (font_size 8)))
		(text "fan_auto" (rect 130 27 179 40)(font "Arial" (font_size 8)))
		(line (pt 200 32)(pt 184 32))
	)
	(port
		(pt 200 48)
		(output)
		(text "speed[1..0]" (rect 0 0 62 13)(font "Arial" (font_size 8)))
		(text "speed[1..0]" (rect 117 43 179 56)(font "Arial" (font_size 8)))
		(line (pt 200 48)(pt 184 48)(line_width 3))
	)
	(drawing
		(rectangle (rect 16 16 184 112))
	)
)
(symbol
	(rect 424 144 472 176)
	(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
	(text "not_block" (rect 3 21 50 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 13 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 39 16)(pt 48 16))
	)
	(drawing
		(line (pt 13 25)(pt 13 7))
		(line (pt 13 7)(pt 31 16))
		(line (pt 13 25)(pt 31 16))
		(circle (rect 31 12 39 20))
	)
)
(connector
	(text "alarm" (rect 520 16 548 27)(font "Arial" ))
	(pt 512 32)
	(pt 528 32)
)
(connector
	(text "not_alarm" (rect 480 144 529 155)(font "Arial" ))
	(pt 472 160)
	(pt 488 160)
)
(connector
	(text "fan_auto" (rect 552 208 595 219)(font "Arial" ))
	(pt 544 224)
	(pt 560 224)
)
(connector
	(text "speed[1..0]" (rect 552 224 607 235)(font "Arial" ))
	(pt 544 240)
	(pt 560 240)
	(bus)
)
(connector
	(text "clk" (rect 296 16 310 27)(font "Arial" ))
	(pt 288 32)
	(pt 384 32)
)
(connector
	(text "resetn" (rect 296 32 326 43)(font "Arial" ))
	(pt 288 48)
	(pt 384 48)
)
(connector
	(text "alarm_user" (rect 296 48 352 59)(font "Arial" ))
	(pt 288 64)
	(pt 384 64)
)
(connector
	(text "sound_high_level" (rect 296 64 382 75)(font "Arial" ))
	(pt 288 80)
	(pt 384 80)
)
(connector
	(text "not_alarm" (rect 272 208 321 219)(font "Arial" ))
	(pt 264 224)
	(pt 344 224)
)
(connector
	(text "fan_auto_user" (rect 272 224 343 235)(font "Arial" ))
	(pt 264 240)
	(pt 344 240)
)
(connector
	(text "speed_user[1..0]" (rect 272 240 354 251)(font "Arial" ))
	(pt 264 256)
	(pt 344 256)
	(bus)
)
(connector
	(text "hot" (rect 272 256 287 267)(font "Arial" ))
	(pt 264 272)
	(pt 344 272)
)
(connector
	(text "alarm" (rect 392 144 420 155)(font "Arial" ))
	(pt 384 160)
	(pt 424 160)
)