summaryrefslogtreecommitdiff
path: root/FPGA/commande/fan.bdf
blob: 7a6211057c598ab2b05d5200ded9a2262a27303c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
	(input)
	(rect 48 40 224 56)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "fan_auto_user" (rect 5 0 76 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
	(input)
	(rect 48 56 224 72)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "fan_speed[1..0]" (rect 5 0 81 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
	(input)
	(rect 56 72 224 88)
	(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
	(text "hot" (rect 5 0 20 11)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
	(input)
	(rect 56 24 224 40)
	(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
	(text "enable" (rect 5 0 38 11)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
	(output)
	(rect 664 24 840 40)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "fan_auto" (rect 90 0 133 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
)
(pin
	(output)
	(rect 664 40 840 56)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "speed[1..0]" (rect 90 0 145 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
)
(symbol
	(rect 368 440 480 528)
	(text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10)))
	(text "inst1" (rect 3 77 26 88)(font "Arial" ))
	(port
		(pt 0 64)
		(input)
		(text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8)))
		(text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8)))
		(line (pt 0 64)(pt 44 64)(line_width 3))
	)
	(port
		(pt 56 88)
		(input)
		(text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8)))
		(text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8)))
		(line (pt 56 88)(pt 56 72))
	)
	(port
		(pt 0 32)
		(input)
		(text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8)))
		(text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 44 32)(line_width 3))
	)
	(port
		(pt 112 48)
		(output)
		(text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8)))
		(text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8)))
		(line (pt 68 48)(pt 112 48)(line_width 3))
	)
	(parameter
		"WIDTH"
		"2"
		"Width of I/O, any integer > 0"
		" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" 
	)
	(drawing
		(text "0" (rect 52 31 59 41)(font "Arial" (font_size 6)))
		(text "1" (rect 52 55 57 65)(font "Arial" (font_size 6)))
		(line (pt 68 64)(pt 68 32))
		(line (pt 44 80)(pt 44 16))
		(line (pt 44 16)(pt 68 32))
		(line (pt 44 80)(pt 68 64))
	)
	(annotation_block (parameter)(rect 480 408 600 434))
)
(symbol
	(rect 368 256 480 344)
	(text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10)))
	(text "inst3" (rect 3 77 26 88)(font "Arial" ))
	(port
		(pt 0 64)
		(input)
		(text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8)))
		(text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8)))
		(line (pt 0 64)(pt 44 64)(line_width 3))
	)
	(port
		(pt 56 88)
		(input)
		(text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8)))
		(text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8)))
		(line (pt 56 88)(pt 56 72))
	)
	(port
		(pt 0 32)
		(input)
		(text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8)))
		(text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 44 32)(line_width 3))
	)
	(port
		(pt 112 48)
		(output)
		(text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8)))
		(text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8)))
		(line (pt 68 48)(pt 112 48)(line_width 3))
	)
	(parameter
		"WIDTH"
		"2"
		"Width of I/O, any integer > 0"
		" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" 
	)
	(drawing
		(text "0" (rect 52 31 59 41)(font "Arial" (font_size 6)))
		(text "1" (rect 52 55 57 65)(font "Arial" (font_size 6)))
		(line (pt 68 64)(pt 68 32))
		(line (pt 44 80)(pt 44 16))
		(line (pt 44 16)(pt 68 32))
		(line (pt 44 80)(pt 68 64))
	)
	(annotation_block (parameter)(rect 480 224 600 250))
)
(symbol
	(rect 392 208 424 240)
	(text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6)))
	(text "inst" (rect 3 21 20 32)(font "Arial" )(invisible))
	(port
		(pt 16 0)
		(output)
		(text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible))
		(text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible))
		(line (pt 16 8)(pt 16 0))
	)
	(drawing
		(line (pt 8 8)(pt 16 16))
		(line (pt 16 16)(pt 24 8))
		(line (pt 8 8)(pt 24 8))
	)
)
(symbol
	(rect 384 32 432 64)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst4" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 384 80 432 112)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst5" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 384 112 432 144)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst6" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(connector
	(text "fan_auto_user" (rect 312 32 383 43)(font "Arial" ))
	(pt 384 48)
	(pt 304 48)
)
(connector
	(text "fan_auto" (rect 448 32 491 43)(font "Arial" ))
	(pt 432 48)
	(pt 448 48)
)
(connector
	(pt 384 128)
	(pt 368 128)
)
(connector
	(pt 384 96)
	(pt 368 96)
)
(connector
	(text "hot" (rect 312 96 327 107)(font "Arial" ))
	(pt 304 112)
	(pt 368 112)
)
(connector
	(pt 368 96)
	(pt 368 112)
)
(connector
	(pt 368 112)
	(pt 368 128)
)
(connector
	(text "fan_hot[1]" (rect 442 80 491 91)(font "Arial" ))
	(pt 432 96)
	(pt 448 96)
)
(connector
	(text "fan_hot[0]" (rect 440 112 489 123)(font "Arial" ))
	(pt 432 128)
	(pt 448 128)
)
(connector
	(text "fan_speed[1..0]" (rect 296 272 372 283)(font "Arial" ))
	(pt 288 288)
	(pt 368 288)
	(bus)
)
(connector
	(text "fan_value[1..0]" (rect 298 488 371 499)(font "Arial" ))
	(pt 368 504)
	(pt 288 504)
	(bus)
)
(connector
	(text "fan_off[1..0]" (rect 295 456 354 467)(font "Arial" ))
	(pt 368 472)
	(pt 288 472)
	(bus)
)
(connector
	(text "enable" (rect 408 532 419 565)(font "Arial" )(vertical))
	(pt 424 528)
	(pt 424 576)
)
(connector
	(text "speed[1..0]" (rect 496 472 551 483)(font "Arial" ))
	(pt 480 488)
	(pt 496 488)
	(bus)
)
(connector
	(text "fan_value[1..0]" (rect 495 288 568 299)(font "Arial" ))
	(pt 480 304)
	(pt 496 304)
	(bus)
)
(connector
	(text "fan_off[0]" (rect 424 176 470 187)(font "Arial" ))
	(pt 408 192)
	(pt 424 192)
)
(connector
	(text "fan_off[1]" (rect 419 160 465 171)(font "Arial" ))
	(pt 408 176)
	(pt 424 176)
)
(connector
	(text "fan_hot[1..0]" (rect 296 304 357 315)(font "Arial" ))
	(pt 288 320)
	(pt 368 320)
	(bus)
)
(connector
	(pt 408 176)
	(pt 408 192)
)
(connector
	(pt 408 192)
	(pt 408 208)
)
(connector
	(text "fan_auto_user" (rect 408 339 419 410)(font "Arial" )(vertical))
	(pt 424 344)
	(pt 424 416)
)
(junction (pt 368 112))
(junction (pt 408 192))