summaryrefslogtreecommitdiff
path: root/FPGA/commande/commande.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/commande/commande.tcl')
-rw-r--r--FPGA/commande/commande.tcl84
1 files changed, 84 insertions, 0 deletions
diff --git a/FPGA/commande/commande.tcl b/FPGA/commande/commande.tcl
new file mode 100644
index 0000000..959859e
--- /dev/null
+++ b/FPGA/commande/commande.tcl
@@ -0,0 +1,84 @@
1# Copyright (C) 1991-2013 Altera Corporation
2# Your use of Altera Corporation's design tools, logic functions
3# and other software and tools, and its AMPP partner logic
4# functions, and any output files from any of the foregoing
5# (including device programming or simulation files), and any
6# associated documentation or information are expressly subject
7# to the terms and conditions of the Altera Program License
8# Subscription Agreement, Altera MegaCore Function License
9# Agreement, or other applicable license agreement, including,
10# without limitation, that your use is for the sole purpose of
11# programming logic devices manufactured by Altera and sold by
12# Altera or its authorized distributors. Please refer to the
13# applicable agreement for further details.
14
15# Quartus II: Generate Tcl File for Project
16# File: commande.tcl
17# Generated on: Sun Jun 15 14:47:20 2014
18
19# Load Quartus II Tcl Project package
20package require ::quartus::project
21
22set need_to_close_project 0
23set make_assignments 1
24
25# Check that the right project is open
26if {[is_project_open]} {
27 if {[string compare $quartus(project) "commande"]} {
28 puts "Project commande is not open"
29 set make_assignments 0
30 }
31} else {
32 # Only open if not already open
33 if {[project_exists commande]} {
34 project_open -revision commande commande
35 } else {
36 project_new -revision commande commande
37 }
38 set need_to_close_project 1
39}
40
41# Make assignments
42if {$make_assignments} {
43 set_global_assignment -name FAMILY "Cyclone II"
44 set_global_assignment -name DEVICE EP2C35F672C6
45 set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
46 set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:58:12 APRIL 11, 2014"
47 set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
48 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
49 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
50 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
51 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
52 set_global_assignment -name USE_CONFIGURATION_DEVICE ON
53 set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
54 set_global_assignment -name BDF_FILE commande.bdf
55 set_global_assignment -name BDF_FILE alarm.bdf
56 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
57 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
58 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
59 set_global_assignment -name BDF_FILE fan.bdf
60 set_global_assignment -name TCL_SCRIPT_FILE commande_pin.tcl
61 set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
62 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
63 set_location_assignment PIN_N2 -to clk
64 set_location_assignment PIN_G26 -to resetn
65 set_location_assignment PIN_AE14 -to speed_user[1]
66 set_location_assignment PIN_P25 -to speed_user[0]
67 set_location_assignment PIN_N26 -to fan_auto_user
68 set_location_assignment PIN_N25 -to alarm_user
69 set_location_assignment PIN_V2 -to hot
70 set_location_assignment PIN_V1 -to sound_high_level
71 set_location_assignment PIN_AC22 -to speed[1]
72 set_location_assignment PIN_AB21 -to speed[0]
73 set_location_assignment PIN_AF23 -to fan_auto
74 set_location_assignment PIN_AE23 -to alarm
75 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
76
77 # Commit assignments
78 export_assignments
79
80 # Close project
81 if {$need_to_close_project} {
82 project_close
83 }
84}