summaryrefslogtreecommitdiff
path: root/FPGA/commande
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/commande')
-rw-r--r--FPGA/commande/commande.bdf16
-rw-r--r--FPGA/commande/commande.qwsbin1694 -> 1415 bytes
-rw-r--r--FPGA/commande/commande.tcl84
-rw-r--r--FPGA/commande/commande_pin.tcl17
4 files changed, 97 insertions, 20 deletions
diff --git a/FPGA/commande/commande.bdf b/FPGA/commande/commande.bdf
index 3e52632..da0633a 100644
--- a/FPGA/commande/commande.bdf
+++ b/FPGA/commande/commande.bdf
@@ -34,6 +34,7 @@ applicable agreement for further details.
34 (line (pt 117 12)(pt 121 8)) 34 (line (pt 117 12)(pt 121 8))
35 ) 35 )
36 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) 36 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
37 (annotation_block (location)(rect 0 16 56 32))
37) 38)
38(pin 39(pin
39 (input) 40 (input)
@@ -50,6 +51,7 @@ applicable agreement for further details.
50 (line (pt 117 12)(pt 121 8)) 51 (line (pt 117 12)(pt 121 8))
51 ) 52 )
52 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) 53 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
54 (annotation_block (location)(rect -8 32 56 48))
53) 55)
54(pin 56(pin
55 (input) 57 (input)
@@ -66,6 +68,7 @@ applicable agreement for further details.
66 (line (pt 117 12)(pt 121 8)) 68 (line (pt 117 12)(pt 121 8))
67 ) 69 )
68 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) 70 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
71 (annotation_block (location)(rect -8 64 56 80))
69) 72)
70(pin 73(pin
71 (input) 74 (input)
@@ -82,6 +85,7 @@ applicable agreement for further details.
82 (line (pt 117 12)(pt 121 8)) 85 (line (pt 117 12)(pt 121 8))
83 ) 86 )
84 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) 87 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
88 (annotation_block (location)(rect -8 80 56 96))
85) 89)
86(pin 90(pin
87 (input) 91 (input)
@@ -98,6 +102,7 @@ applicable agreement for further details.
98 (line (pt 117 12)(pt 121 8)) 102 (line (pt 117 12)(pt 121 8))
99 ) 103 )
100 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) 104 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
105 (annotation_block (location)(rect 0 96 56 112))
101) 106)
102(pin 107(pin
103 (input) 108 (input)
@@ -114,6 +119,7 @@ applicable agreement for further details.
114 (line (pt 117 12)(pt 121 8)) 119 (line (pt 117 12)(pt 121 8))
115 ) 120 )
116 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) 121 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
122 (annotation_block (location)(rect 0 112 56 128))
117) 123)
118(pin 124(pin
119 (input) 125 (input)
@@ -130,6 +136,7 @@ applicable agreement for further details.
130 (line (pt 117 12)(pt 121 8)) 136 (line (pt 117 12)(pt 121 8))
131 ) 137 )
132 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) 138 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
139 (annotation_block (location)(rect -8 48 56 64))
133) 140)
134(pin 141(pin
135 (output) 142 (output)
@@ -146,6 +153,7 @@ applicable agreement for further details.
146 (line (pt 82 8)(pt 78 12)) 153 (line (pt 82 8)(pt 78 12))
147 (line (pt 78 12)(pt 82 8)) 154 (line (pt 78 12)(pt 82 8))
148 ) 155 )
156 (annotation_block (location)(rect 824 16 888 32))
149) 157)
150(pin 158(pin
151 (output) 159 (output)
@@ -162,6 +170,7 @@ applicable agreement for further details.
162 (line (pt 82 8)(pt 78 12)) 170 (line (pt 82 8)(pt 78 12))
163 (line (pt 78 12)(pt 82 8)) 171 (line (pt 78 12)(pt 82 8))
164 ) 172 )
173 (annotation_block (location)(rect 824 32 888 48))
165) 174)
166(pin 175(pin
167 (output) 176 (output)
@@ -178,6 +187,7 @@ applicable agreement for further details.
178 (line (pt 82 8)(pt 78 12)) 187 (line (pt 82 8)(pt 78 12))
179 (line (pt 78 12)(pt 82 8)) 188 (line (pt 78 12)(pt 82 8))
180 ) 189 )
190 (annotation_block (location)(rect 824 48 888 64))
181) 191)
182(symbol 192(symbol
183 (rect 384 0 512 128) 193 (rect 384 0 512 128)
@@ -225,7 +235,7 @@ applicable agreement for further details.
225(symbol 235(symbol
226 (rect 344 192 544 320) 236 (rect 344 192 544 320)
227 (text "fan" (rect 5 0 22 13)(font "Arial" (font_size 8))) 237 (text "fan" (rect 5 0 22 13)(font "Arial" (font_size 8)))
228 (text "inst" (rect 8 113 25 124)(font "Arial" )) 238 (text "fan_block" (rect 8 113 55 124)(font "Arial" ))
229 (port 239 (port
230 (pt 0 32) 240 (pt 0 32)
231 (input) 241 (input)
@@ -275,7 +285,7 @@ applicable agreement for further details.
275(symbol 285(symbol
276 (rect 424 144 472 176) 286 (rect 424 144 472 176)
277 (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) 287 (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
278 (text "inst2" (rect 3 21 26 32)(font "Arial" )) 288 (text "not_block" (rect 3 21 50 32)(font "Arial" ))
279 (port 289 (port
280 (pt 0 16) 290 (pt 0 16)
281 (input) 291 (input)
@@ -287,7 +297,7 @@ applicable agreement for further details.
287 (pt 48 16) 297 (pt 48 16)
288 (output) 298 (output)
289 (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) 299 (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
290 (text "OUT" (rect 32 7 48 18)(font "Courier New" (bold))(invisible)) 300 (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
291 (line (pt 39 16)(pt 48 16)) 301 (line (pt 39 16)(pt 48 16))
292 ) 302 )
293 (drawing 303 (drawing
diff --git a/FPGA/commande/commande.qws b/FPGA/commande/commande.qws
index ca66277..da57b8d 100644
--- a/FPGA/commande/commande.qws
+++ b/FPGA/commande/commande.qws
Binary files differ
diff --git a/FPGA/commande/commande.tcl b/FPGA/commande/commande.tcl
new file mode 100644
index 0000000..959859e
--- /dev/null
+++ b/FPGA/commande/commande.tcl
@@ -0,0 +1,84 @@
1# Copyright (C) 1991-2013 Altera Corporation
2# Your use of Altera Corporation's design tools, logic functions
3# and other software and tools, and its AMPP partner logic
4# functions, and any output files from any of the foregoing
5# (including device programming or simulation files), and any
6# associated documentation or information are expressly subject
7# to the terms and conditions of the Altera Program License
8# Subscription Agreement, Altera MegaCore Function License
9# Agreement, or other applicable license agreement, including,
10# without limitation, that your use is for the sole purpose of
11# programming logic devices manufactured by Altera and sold by
12# Altera or its authorized distributors. Please refer to the
13# applicable agreement for further details.
14
15# Quartus II: Generate Tcl File for Project
16# File: commande.tcl
17# Generated on: Sun Jun 15 14:47:20 2014
18
19# Load Quartus II Tcl Project package
20package require ::quartus::project
21
22set need_to_close_project 0
23set make_assignments 1
24
25# Check that the right project is open
26if {[is_project_open]} {
27 if {[string compare $quartus(project) "commande"]} {
28 puts "Project commande is not open"
29 set make_assignments 0
30 }
31} else {
32 # Only open if not already open
33 if {[project_exists commande]} {
34 project_open -revision commande commande
35 } else {
36 project_new -revision commande commande
37 }
38 set need_to_close_project 1
39}
40
41# Make assignments
42if {$make_assignments} {
43 set_global_assignment -name FAMILY "Cyclone II"
44 set_global_assignment -name DEVICE EP2C35F672C6
45 set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
46 set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:58:12 APRIL 11, 2014"
47 set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
48 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
49 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
50 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
51 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
52 set_global_assignment -name USE_CONFIGURATION_DEVICE ON
53 set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
54 set_global_assignment -name BDF_FILE commande.bdf
55 set_global_assignment -name BDF_FILE alarm.bdf
56 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
57 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
58 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
59 set_global_assignment -name BDF_FILE fan.bdf
60 set_global_assignment -name TCL_SCRIPT_FILE commande_pin.tcl
61 set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
62 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
63 set_location_assignment PIN_N2 -to clk
64 set_location_assignment PIN_G26 -to resetn
65 set_location_assignment PIN_AE14 -to speed_user[1]
66 set_location_assignment PIN_P25 -to speed_user[0]
67 set_location_assignment PIN_N26 -to fan_auto_user
68 set_location_assignment PIN_N25 -to alarm_user
69 set_location_assignment PIN_V2 -to hot
70 set_location_assignment PIN_V1 -to sound_high_level
71 set_location_assignment PIN_AC22 -to speed[1]
72 set_location_assignment PIN_AB21 -to speed[0]
73 set_location_assignment PIN_AF23 -to fan_auto
74 set_location_assignment PIN_AE23 -to alarm
75 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
76
77 # Commit assignments
78 export_assignments
79
80 # Close project